Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus Prime Pro Run RTL Simulation

efe373
New Contributor I
1,664 Views

Hello,

 

In the previous versions of the program, I was able to run RTL simulation via 3rd party simulation programs through quartus gui. There was a button under 'Tools' named 'Run RTL Simulation' or something like that, and if I press that button ModelSim was appearing. However, in the Quartus Prime Pro v20.3 I couldn't find this button. I am trying to use QuestaSim v10.7b. Anyone has any idea how to get this button? Or should I use tcl scripts in order to simulate? Thanks in advance.

 

Efe

0 Kudos
1 Solution
RichardTanSY_Intel
1,619 Views

I believe you were referring to the Nativelink Simulation feature which is supported only in the Quartus Standard and Lite version. In the Quartus Pro version, it is not supported. 

For the Quartus Pro, simulate using the Scripted Simulation Flows. You may checkout the document link below on how-to simulate. 

https://www.intel.com/content/www/us/en/programmable/documentation/gft1513990268888.html

 

View solution in original post

3 Replies
RichardTanSY_Intel
1,620 Views

I believe you were referring to the Nativelink Simulation feature which is supported only in the Quartus Standard and Lite version. In the Quartus Pro version, it is not supported. 

For the Quartus Pro, simulate using the Scripted Simulation Flows. You may checkout the document link below on how-to simulate. 

https://www.intel.com/content/www/us/en/programmable/documentation/gft1513990268888.html

 

efe373
New Contributor I
1,608 Views

Yes, I was mentioning NativeLink, thanks. Another question of mine appeared after your response.

 

I was already looking at the document that you mentioned but unfortunately I couldn't find what I was looking for. Is there a feature in Quartus Pro that automatically generates scripts to simulate my design in QuestSim? I do not want to create library and compile every design files by hand on QuestaSim. I saw there are some features for IP Cores but I did not use any IP Core in my design, so I cannot generate msim_setup.tcl file. Thanks in advance.

0 Kudos
RichardTanSY_Intel
1,601 Views

Quartus Pro does not have that feature which generate script for you. You need to write your own *.do file. 

Just like ModelSim Macro File (*.do) is generated in the <project_directory>\simulation\modelsim directory while running the NativeLink feature. You could refer back to how the *.do written/generated in Quartus Standard version.

 

 

Reply