Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Quartus VHDL-2008 outdated IEEE package ?

Altera_Forum
Honored Contributor II
2,233 Views

Hi, 

I'm using Quartus 16.1.2 and it seem to use outdated package for IEEE.std_logic_1164 and IEEE.numeric_std because I can't use the Unary Reduction Logic Operators provide by VHDL-2008. 

example: ack <= or(ack_vector); 

https://alteraforum.com/forum/attachment.php?attachmentid=15251&stc=1  

Does anyone know how fix this issue?  

 

Note: Work with Vivado and Questa Sim
0 Kudos
7 Replies
Altera_Forum
Honored Contributor II
1,332 Views

It's not outdated. Quartus only supports a few features of vhdl 2008. 

 

Quartus pro has full vhdl 2008 support
0 Kudos
Altera_Forum
Honored Contributor II
1,332 Views

Crap 

Cyclone V 5CGXFC5 doesn't seem to be supported in PRO :(
0 Kudos
Altera_Forum
Honored Contributor II
1,332 Views

Afaik, Pro only supports 10 series and onwards, and comes at extra cost.

0 Kudos
Altera_Forum
Honored Contributor II
1,332 Views

The work around is to use the synopsys std_logic_misc library and use the or_reduce function: 

 

use ieee.std_logic_misc.all; ack <= or_reduce(ack_vector);
Altera_Forum
Honored Contributor II
1,332 Views

Work 

thank you :)
0 Kudos
NBerg1
Beginner
1,332 Views

Are there any plans fro generally supporting VHDL-2008 in the Standard Quartus anyime soon?

0 Kudos
JC_FPGA
Novice
1,117 Views

I just came across this thread in a search.  It's been three years since this thread was created and the OR reduction operator is still not supported in Quartus Prime Standard Edition.  It works fine when I simulate in Questa.

0 Kudos
Reply