Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16594 Discussions

quartus_pgm flash erase command line for stratix10 flash

DamienB
Beginner
2,375 Views

Hi,

 

could you help me to find the correct quartus_pgm command line to erase the flash ?

my failing attempts are :

/home/intelFPGA_pro/19.4/qprogrammer/quartus/bin/quartus_pgm -c 1 -m JTAG -erase_all
Error (213040): Can't access JTAG chain -- check programming hardware connections, power, and board integrity

/home/intelFPGA_pro/19.4/qprogrammer/quartus/bin/quartus_pgm -c 1 -m JTAG -o R
Error (213008): Programming option string "R" is illegal. Refer to --help for legal programming option formats.

 

0 Kudos
8 Replies
Ash_R_Intel
Employee
2,363 Views

Hi DamienB,

Can you try following?

quartus_pgm -c 1 -m JTAG --erase_all

Regards.

 

 

0 Kudos
Ash_R_Intel
Employee
2,353 Views

Hi DamienB,

Can you try following?

quartus_pgm -c 1 -m JTAG --erase_all

Regards.


0 Kudos
DamienB
Beginner
2,342 Views

The command fails with JTAG error, but the programmation command works fine see below :

/home/intelFPGA_pro/19.4/qprogrammer/quartus/bin/quartus_pgm -c 1 -m JTAG --erase_all
Error (213040): Can't access JTAG chain -- check programming hardware connections, power, and board integrity
[root@pm0-nod241 carte]# /home/intelFPGA_pro/19.4/qprogrammer/quartus/bin/quartus_pgm -c 1 -m JTAG -o 'PVBI;'21p1_customer_sof.jic
Info: *******************************************************************
Info: Running Quartus Prime Programmer
Info: Version 19.4.0 Build 64 12/04/2019 SC Pro Edition
Info: Copyright (C) 2019 Intel Corporation. All rights reserved.
Info: Your use of Intel Corporation's design tools, logic functions
Info: and other software and tools, and any partner logic
Info: functions, and any output files from any of the foregoing
Info: (including device programming or simulation files), and any
Info: associated documentation or information are expressly subject
Info: to the terms and conditions of the Intel Program License
Info: Subscription Agreement, the Intel Quartus Prime License Agreement,
Info: the Intel FPGA IP License Agreement, or other applicable license
Info: agreement, including, without limitation, that your use is for
Info: the sole purpose of programming logic devices manufactured by
Info: Intel and sold by Intel or its authorized distributors. Please
Info: refer to the applicable agreement for further details, at
Info: https://fpgasoftware.intel.com/eula.
Info: Processing started: Mon Feb 8 14:33:10 2021
Info: System process ID: 12405
Info: Command: quartus_pgm -c 1 -m JTAG -o PVBI;21p1_customer_sof.jic
Info (213045): Using programming cable "ALBA IC AIF [1-3]"
Info (213011): Using programming file 21p1_customer_sof.jic with checksum 0x9D258D25 for device 1SM21BEU2@1
Info (209060): Started Programmer operation at Mon Feb 8 14:33:18 2021
Info (18942): Configuring device index 1
Info (18943): Configuration succeeded at device index 1
Info (19094): Erasing flash 1 at device index 1
Info (19095): Blank-checking flash 1 at device index 1
Info (19096): Programming flash 1 at device index 1
Info (19097): Verifying flash 1 at device index 1
Info (209011): Successfully performed operation(s)
Info (209061): Ended Programmer operation at Mon Feb 8 14:35:43 2021
Info: Quartus Prime Programmer was successful. 0 errors, 0 warnings

 

Regards

0 Kudos
Ash_R_Intel
Employee
2,331 Views

Hi,


As per the Quartus scripting reference manual, https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/tclscriptrefmnl.pdf, the syntax goes like this.


quartus_pgm -c <cable name> -m <programming mode> -o <value>


where, -o=<programming operation>


Further syntax for -o is,


-o <options>;<input_file>@<device_index>


Please try with appropriate input file name and device_index. Let us know.


Regards.


0 Kudos
Ash_R_Intel
Employee
2,302 Views

Hi Damien,


Were you able to find the correct command line option? Can we close this topic?


Regards


0 Kudos
DamienB
Beginner
2,291 Views

Hi Ash_R,

 

I did not found any solution.

It helps me a lot if you can find this quarstus_pgm command line that would allow to erase a stratix10 flash without launching a new programmation

 

Best Regards,

Damien 

0 Kudos
Ash_R_Intel
Employee
2,181 Views

Hi,

Very sorry on too late response.

Please check if the Erase full chip example in section 3.3.2 of Intel Quartus Prime Pro Edition User Guide: Programmer helps, https://www.intel.com/content/www/us/en/programmable/documentation/ftt1513991830769.html#lro140

2547205750


Regards.


0 Kudos
DamienB
Beginner
2,160 Views

Hi,

 

Hi,

I have now the solution : 

command to erase a stratix 10 flash is :

quartus_pgm -c 1 -m JTAG -o 'RI;'file.jic

The only tricky thing is that a valid jic file is needed even if you do not request any programmation.

Regards,

Damien

 

 

0 Kudos
Reply