Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16604 Discussions

Quartus can not run Modelsim

Altera_Forum
Honored Contributor II
1,688 Views

Hello :), 

I run Quartus prime pro 17 with float license on Linux. Synthesis and bit stream generation are successfully done. But when I want to run Modelsim simulation, there is no place that I can assign Modelsim executable. Modelsim_AE and ASE exist in the Quartus folder. In tools->options there is no place for EDA tool settings!? as I see many pages in internet, there should be such setting in there. I see only general, message and text editor setting.  

After making a .vwf waveform, testbench and scripts are successfully generated, but "run functional simulation" can not proceed with this error: 

 

unable to open /home/hamzeh/desktop/test/simulation/qsim/mywaveform.vwf.vt  

error.  

 

Can anybody help me on this? 

 

https://alteraforum.com/forum/attachment.php?attachmentid=13932&stc=1
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
693 Views

The EDA tool settings are in Assignments -> Settings for the project, not in the tool options.

0 Kudos
Reply