Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16596 Discussions

Quartus quit unexpectedly

xxiao14
Beginner
2,734 Views

When I tried to compile my code, I got this error, anybody can help me? thank you very much!

 

Problem Details

Error:

*** Fatal Error: Access Violation at 00007FF96AEB4786

Module: quartus_syn.exe

Stack Trace:

0x14785: CDB_SGATE_ITERM::set_gnd + 0x5 (db_cdb_sgate)

0xc56a: OPT_RAM_INFERENCE::create_ram_bits + 0x45a (SYNTH_INFER)

0xab14: OPT_RAM_INFERENCE::convert_synchronous_ram_sgate_to_nodes + 0xc34 (SYNTH_INFER)

0x9d6c: OPT_RAM_INFERENCE::convert_ram_nodes + 0x4ec (SYNTH_INFER)

0x6a14a: RTL_SCRIPT::call_ram_rom_fns + 0x226 (SYNTH_OPT)

0x67aca: RTL_SCRIPT::call_named_function + 0x41a (SYNTH_OPT)

0x66e77: RTL_SCRIPT::process_script + 0x503 (SYNTH_OPT)

0x7cb40: opt_run_parallel_rtl + 0xf8 (SYNTH_OPT)

0xafae9: SYNTH::QIS::SYNTHESIS_FLOW::run_parallel_rtl_in_helper_processes + 0x1e9 (synth_qis)

0xac3dc: SYNTH::QIS::SYNTHESIS_FLOW::high_level_synthesis + 0x36c (synth_qis)

0xaf232: SYNTH::QIS::SYNTHESIS_FLOW::run_current_phase + 0x282 (synth_qis)

0xaf5fd: SYNTH::QIS::SYNTHESIS_FLOW::run_full_flow + 0x26d (synth_qis)

0x4a8a7: QIS_RTL_STAGE::IMPL::synthesize + 0x347 (synth_qis)

0x18a68: qis_synthesize + 0x1d8 (synth_qis)

0x16442: TclNRRunCallbacks + 0x62 (tcl86)

0x17c4d: TclEvalEx + 0x9ed (tcl86)

0xa6a8b: Tcl_FSEvalFileEx + 0x22b (tcl86)

0xa5136: Tcl_EvalFile + 0x36 (tcl86)

0x11fac: qexe_evaluate_tcl_script + 0x2cc (comp_qexe)

0x11215: qexe_do_tcl + 0x345 (comp_qexe)

0x1671e: qexe_run_tcl_option + 0x5ee (comp_qexe)

0x26711: qcu_run_tcl_option + 0xc61 (comp_qcu)

0x1601b: qexe_run + 0x3ab (comp_qexe)

0x170e4: qexe_standard_main + 0xe4 (comp_qexe)

0x30a9: qsyn2_main + 0xe9 (quartus_syn)

0x13e58: msg_main_thread + 0x18 (CCL_MSG)

0x1516e: msg_thread_wrapper + 0x6e (CCL_MSG)

0x1f900: mem_thread_wrapper + 0x70 (ccl_mem)

0x1348e: msg_exe_main + 0xae (CCL_MSG)

0x46c4: __scrt_common_main_seh + 0x11c (quartus_syn)

0x17973: BaseThreadInitThunk + 0x13 (KERNEL32)

0x6a270: RtlUserThreadStart + 0x20 (ntdll)

 

End-trace

 

 

Executable: quartus_syn

Comment:

None

 

System Information

Platform: windows64

OS name: Windows 10

OS version: 10.0

 

Quartus Prime Information

Address bits: 64

Version: 18.1.0

Build: 222

Edition: Pro Edition

Capture.JPG

0 Kudos
14 Replies
Vicky1
Employee
2,368 Views

Hi,

First close the Quartus tool & then delete the 'db' & 'incremental_db' from project directory then compile again..

confirm whether it is project related issue or quartus related issue by creating & compiling new simple design.

Thanks,

Vicky

0 Kudos
Vicky1
Employee
2,368 Views

Hi,

Could you please help me to crosscheck by creating new project exactly similar like present project here we just want to ensure that there is no issue related to database of present project.

Please let me know, how it works foo you.

Regards,

Vicky

0 Kudos
Vicky1
Employee
2,368 Views

Hi Xin,

"First close the Quartus tool & then delete the 'db' & 'incremental_db' from project directory then compile again..

confirm whether it is project related issue or quartus related issue by creating & compiling new simple design." ---- May I know the details please what you observed?

 

"Hi, I'm sending the achieve project to you, please check, thanks!"----- I would like to inform you that, In Intel community, No one is going to code or create/compile project for you, here users will get support so I request you please try that two solution provided in last two post at your end & let us know.

Thanks,

Vicky

 

0 Kudos
xxiao14
Beginner
2,368 Views

Hi Vicky,

There is no 'db' or 'incremental_db' folder in my project directory, but there are 'qdb' and '.qsys_edit' folder, I deleted them and tried again, same thing happened. And I think the problem is both project related and quartus related, because if I use quartus prime to compile the same project, it works good, that means the code is correct, but if I use quartus prime pro to compile the project, I got this issue. Nevertheless, if I modify the code a little bit, delete the gpio in the code, the quartus prime pro can compile it correctly. It looks like a bug of the quartus prime pro.

0 Kudos
Vicky1
Employee
2,368 Views

Hi,

Thanks for this useful information.

'I think the problem is both project related and quartus related, because if I use quartus prime to compile the same project, it works good, that means the code is correct' - - which one is other Quartus edition(Lite/Std) you mean where it works good?

 

' if I modify the code a little bit, delete the gpio in the code, the quartus prime pro can compile it correctly. It looks like a bug of the quartus prime pro.'---- may I know the which gpio you have deleted, please?

Thank you for your cooperation....

Regards,

Vicky

 

0 Kudos
xxiao14
Beginner
2,368 Views

Hi Vicky,

 

I'm using quartus standard edition 18.1 which works good in this case, and gpio is: GPIO Intel FPGA IP, Bidir. I simplified the project and attached the archive in this mail, you will understand this issue easily if you just run it . Thanks.

0 Kudos
Vicky1
Employee
2,368 Views

Hi,

Thanks for the updated project.

let me check the issue regarding pro edition with team.

Regards,

Vicky

0 Kudos
xxiao14
Beginner
2,368 Views
0 Kudos
JosephC_Intel
Moderator
2,368 Views

Hi Xin Xiao,

 

We've check this internally and didn't come across this issue. Thus, we suspect this is an configuration issue.

 

Please go to http://fpgasoftware.intel.com/ and try with latest Quartus 19.2.

 

Thanks,

Joseph

0 Kudos
xxiao14
Beginner
2,368 Views

I tried the latest Quartus 19.2, but I still got the same issue.

0 Kudos
JosephC_Intel
Moderator
2,368 Views

Hi,

 

Sorry for coming back late as I just back to office last week. Can you perform last test before I escalate this to our Internal Engineering team?

 

Please uninstall your Quartus tools and the wipe off entire Quartus directory from your C: Drive. Before you re-install, please disable your anti-virus and then install as administrator.

 

Let me know your result.

 

Thanks,

Joseph

 

0 Kudos
xxiao14
Beginner
2,368 Views

I can't try it, I don't have the license for 19.2. Is there any way I can solve the problem in Quartus 18? Thanks!

0 Kudos
JosephC_Intel
Moderator
2,368 Views

Hi,

 

That was a surprised as you've communicated to us on 6-Sep-2019 that you still seeing the same issue on Quartus 19.2.

 

Anyhow, please let us know if your system configuration meet the minimum requirement of Quartus 18.X RAM processing design?

 

You can check from below document:

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/rn/archives/rn-qts-std-dev-support-18.1.pdf

 

As we can't duplicate your error internally, I check thru existing internal database and came across this issue should caused by insufficient of RAM. Please click on above guide and go to section 1.3 (Table 1) for more details.

 

Thanks,

Joseph

0 Kudos
JosephC_Intel
Moderator
2,368 Views

Hi,

 

Did our recommendation works? Kindly update us your status.

 

Thanks,

Joseph

0 Kudos
Reply