Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

Ram and Rom

Altera_Forum
Honored Contributor II
3,812 Views

I have this Wrong Messages.:confused: 

 

library ieee; 

use ieee.std_logic_1164.all; 

use ieee.numeric_std.all; entity BUS_VHDL is  

port 

(  

CS_ROM_n : out std_logic; 

CS_OUT_LED_n : out std_logic; 

bus_en_n : in std_logic; <<wrong---- Error (12014): Net "gdfx_temp0", which fans out to "BUS_VHDL:inst4|bus_en_n", cannot be assigned more than one value ---- 

 

Addr_bus : in std_logic_vector(7 downto 0) 

); 

End entity; 

architecture rtl of BUS_VHDL is 

Begin 

process(Addr_bus, bus_en_n) 

begin 

if bus_en_n = '0' then 

if unsigned(addr_bus) > 0 AND unsigned(addr_bus) < 16 then -- ROM adress 

CS_ROM_n <= '0'; 

else 

CS_ROM_n <= '1'; 

end if; 

if addr_bus = "00010000" then -- OUT_LED adress 

CS_OUT_LED_n <= '0'; 

else 

CS_OUT_LED_n <= '1'; 

end if; 

else 

CS_ROM_n <= '1'; 

CS_OUT_LED_n <= '1'; 

end if; 

end process; 

 

end rtl;
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
2,712 Views

It looks like the problem is from outside of this entity. You are trying to drive its bus_en_n input from two places simultaneously.

0 Kudos
Altera_Forum
Honored Contributor II
2,712 Views

simultaneously how...? is it in this code..

0 Kudos
Altera_Forum
Honored Contributor II
2,712 Views

You tell us by showing the code that instantiates this entity. 

 

You've connected two things to bus_en_n. bus_en_n is an input to this entity, so the problem is not in this code.
0 Kudos
Reply