Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

Reusing components: basics

emaferna
Novice
1,112 Views

 

Hello, using QUARTUS PRIME (LITE EDITION):

I wrote and tested an easy myAND2 basic project in a folder "progetto_myAND2".

I wrote and tested an easy myOR2 basic project in a folder "progetto_myOR2".

Then, I wrote an easy myCIRCUIT project in a folder "progetto_myCIRCUT" as a netlist.

Each project have its proper folder. I wolud like to reuse, as components, myAND2 and myOR2 in myCIRCUIT.

I did understand yet how to tell Quartus of reference the entity done in the two previous projects.

Can you help to understand how to set QUARTUS in order to do that?

In attachment I put vhdl code and errors from compiler.

Thank you in advance

0 Kudos
5 Replies
emaferna
Novice
1,104 Views

Hello,
I solved it by entering the paths of the source files of each respective project using: Setting-Files-Add
Source files are used during compilation, referencing them and not copying them.

Question: but there is no way to create a compiled library from QUARTUS and not MODELSIM to be reused?

0 Kudos
KhaiChein_Y_Intel
1,089 Views

Hi,

It is glad that you solved the initial problem. Could you elaborate your new question? Could you share what you are trying to do?

 

Thanks

Best regards,

KhaiY

0 Kudos
KhaiChein_Y_Intel
1,076 Views

Hi,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


Best regards,

KhaiY


0 Kudos
emaferna
Novice
1,070 Views

Hello, what I was trying to do I had already highlighted with my pdf attachment.
The question remains open whether it is possible to create pre-compiled user libraries that can be referenced in QUARTUS, as it can be done in MODELSIM.
Kind regards

0 Kudos
KhaiChein_Y_Intel
1,059 Views

Hi,

You may include the design libraries in QSF or Quartus settings using steps in Chapter 2.4.1 and follow the guideline in chapter 2.8.2.

Document: https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/ug/ug-qps-getting-started.pdf 

 

Thanks

Best regards,

KhaiY

0 Kudos
Reply