Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16606 Discussions

Search Path for Source Files

ldm_as
Novice
3,635 Views

Hi All,

 

What's the default Search Path for the source files in Quartus?

 

How to define additional Search Paths? When the search paths are used (for include files, etc)?

 

Thank you!

 

0 Kudos
1 Solution
AnandRaj_S_Intel
Employee
3,383 Views

Hi Dmitry,

 

We can specify a library for each design file in various ways,

  1. Specifying a Destination Library Name in the Settings Dialog Box
  2. Specifying a Destination Library Name in the Quartus II Settings File or with Tcl

 

  • On the Assignments menu, click Settings.
  • In the Category list, select Files.
  • Select the file in the File Name list.
  • Click Properties.
  • In the File Properties dialog box, select the type of design file from the Type list.
  • Type the library name in the Library field.
  • Click OK.

or

we can specify the library name with the -library option to the <language type>_FILE assignment in the Quartus II Settings File (.qsf) or with Tcl commands. 

 

  • set_global_assignment –name VHDL_FILE design.vhd –library my_lib
  • set_global_assignment –name VERILOG_FILE header_file.h –library another_lib

 

Regards

Anand

View solution in original post

0 Kudos
3 Replies
AnandRaj_S_Intel
Employee
3,383 Views

Hi Airspan,

 

You can include design files libraries in your project. Specify libraries for a single project, or for all Quartus II projects.

  1. The.qsf stores project library information.
  2. The quartus2.ini file stores global library information.

 

Click Assignment > Settings. Click Libraries and specify the Project Library name or Global Library name.

Alternatively, you can specify project libraries with SEARCH_PATH in the .qsf, and global libraries in the quartus2.ini file. 

 

Regards

Anand

0 Kudos
ldm_as
Novice
3,383 Views

OK, but could the different units in the project to be compiled to the different libraries? How to do so?

Actually I have deliveries from several sources. Some deliveries include files and modules with the same name, but different functionality. So, how to handle this situation?

0 Kudos
AnandRaj_S_Intel
Employee
3,384 Views

Hi Dmitry,

 

We can specify a library for each design file in various ways,

  1. Specifying a Destination Library Name in the Settings Dialog Box
  2. Specifying a Destination Library Name in the Quartus II Settings File or with Tcl

 

  • On the Assignments menu, click Settings.
  • In the Category list, select Files.
  • Select the file in the File Name list.
  • Click Properties.
  • In the File Properties dialog box, select the type of design file from the Type list.
  • Type the library name in the Library field.
  • Click OK.

or

we can specify the library name with the -library option to the <language type>_FILE assignment in the Quartus II Settings File (.qsf) or with Tcl commands. 

 

  • set_global_assignment –name VHDL_FILE design.vhd –library my_lib
  • set_global_assignment –name VERILOG_FILE header_file.h –library another_lib

 

Regards

Anand

0 Kudos
Reply