Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Simulation Waveform error

maksdi3
Beginner
519 Views

# vsim -novopt -c -t 1ps -L cycloneiv -L altera -L altera_mf -L 220model -L sgate -L altera_lnsim work.First_vhd_vec_tst

# Start time: 10:22:01 on Sep 20,2021

# ** Error (suppressible): (vsim-12110) The -novopt option has no effect on this product. -novopt option is now deprecated and will be removed in future releases.

# Error loading design

Error loading design

 

# End time: 10:22:02 on Sep 20,2021, Elapsed time: 0:00:01

# Errors: 1, Warnings: 0

 

Error.

 

Help please. I don't understand what the error is.

0 Kudos
3 Replies
RichardTanSY_Intel
476 Views

Hi @maksdi3 

 

Go to your simulation setting and removed the -novopt in the script. 

Try to run the simulation again and see if the issue still persists. 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
446 Views

Hi @maksdi3 

 

Does my latest reply helps? 

 

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
RichardTanSY_Intel
381 Views

Hi @maksdi3 

 

I have yet to receive any response from you to the previous question/reply/answer that I have provided but I believed that I have answered your question. 
With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

Best Regards,
Richard Tan

p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 

0 Kudos
Reply