Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Simulation fails if Modelsim time resolution is not 1ps

Altera_Forum
Honored Contributor II
3,131 Views

I'm using Quartus Lite 16.1 and the free Modelsim Alters SE. I have a VHDL behavioral simulation that seems to work fine at the default 1ps resolution but if painfully slow. My clock period is 20 ns, so I thought I would speed it up by changing to 10ps. It ran much faster, but the simulator now returns incorrect results. It misses many signal transitions.  

 

In particular, if I use: 

 

 

vsim -t 1ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L fiftyfivenm -L rtl_work -L work -voptargs="+acc" TB 

 

all is well but: 

 

vsim -t 10ps -L altera -L lpm -L sgate -L altera_mf -L altera_lnsim -L fiftyfivenm -L rtl_work -L work -voptargs="+acc" TB  

 

fails. It zips through the simulation (much more than 10 times faster), but does not see any values change (all at rising clock edges). 

 

Is Modelsim Altera hardwired to require 1ps time resolution?
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
2,248 Views

What are you simulating? some more complex things (eg DDR models) can require ps resolution

0 Kudos
Altera_Forum
Honored Contributor II
2,248 Views

PLL simulation used to require ps resolution, otherwise no output clock generated. Basic VHDL should be fine with 1 ns.  

 

May be there's something special about your design.
0 Kudos
Altera_Forum
Honored Contributor II
2,248 Views

Tricky, other than VHDL code, I use a PLL, dual-port RAM (inferred M9K), dual port ROM (inferred and uses M9K) and embedded multipliers. I'm not using any DDR at the moment.  

 

Based on FvM's comment, I removed the PLL and "manually" generated the clocks for RTL simulation. This did in fact fix the problem of the simulation failing, but did not speed things up even at "-t 1ns".  

 

I am getting a warning: 

 

# ** Warning: Design size of 28692 statements exceeds ModelSim-Intel FPGA Starter Edition recommended capacity. # Expect performance to be adversely affected. 

 

which is odd since my code is only a few hundred lines. I was under the impression that libraries were not included and the paid version only gives a 33% performance boost as described here: 

 

https://www.altera.com/products/design-software/model---simulation/modelsim-altera-software.html (https://www.altera.com/products/design-software/model---simulation/modelsim-altera-software.html

 

but maybe I'm misunderstanding it.
0 Kudos
Altera_Forum
Honored Contributor II
2,248 Views

I upgraded to the paid version of Modelsim and Quartus. It still does not work at "-t 10ps", but it is hundreds of times faster. The polite warning: 

 

"Expect performance to be adversely affected."  

 

should really be changed to  

 

"Expect to die of old age before it finishes unless you upgrade to the paid version".  

 

It still would be nice to figure out the time resolution issue.
0 Kudos
Reply