Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16641 Discussions

Unable to get LED blink on the FPGA board Cyclone V 5CGXFC5C6F27C7N

FPGA_user2
Beginner
310 Views

Hello everyone, 

 

I am doing an LED blink program using the FPGA board Cyclone V 5CGXFC5C6F27C7N.  I am sharing the Verilog code, .sdc file, and the pin assignment screenshots.
 
LED Blink codeLED Blink codeSDC fileSDC filePin PlannerPin Planner
The Software version used is Quartus Prime 22.1 Lite Edition; the device is Cyclone V 5CGXFC5C6F27C7N. 
 
The board is customized and does not have an LED on it. So, we connected an LED to an I/O pin named 'light.' The program is run, and when it's programmed on the board, we cannot get the LED to blink. 
 
What could be the reason?
 
I am new to FPGA. 
 
It would be helpful if anyone could guide me on this. 
 
 
Thank You. 

 

Labels (1)
0 Kudos
5 Replies
sstrell
Honored Contributor III
266 Views

Why does it look like Analysis & Synthesis and the Fitter have not run?  Did you fully compile the design?  Also note that initial blocks are not synthesizable so you should probably initialize via reset control in the always process block.  Any warnings or errors in compilation?  What is your indication that the device was successfully programmed?  Did the Programmer indicate this (assuming you used a .sof file over a download cable like the USB Blaster)?

Are you bringing in a 50 MHz clock or 100 MHz?  Your .sdc and comment in your code say two different things.  The LED could be cycling too quickly for you to see.  It would probably be better to put an oscilloscope on the output to see if the signal keeps inverting.

Way more details needed here about your setup and what you've tried as far as debugging is concerned.

0 Kudos
FPGA_user2
Beginner
226 Views

Hello sstrell,

Thank You for the response.

We have run the Analysis & Synthesis and the Fitter. And the design is fully compiled. The screenshot of the same is attached herewith.

I have tried to initialize it in the main module itself. 

FPGA codeFPGA code

I have attached the warnings; there were no errors.

WarningsWarnings


The programmer indicated 100% success. Screenshot attached.

Programmer indicationProgrammer indication

.sdc format.sdc format

Is the .sdc format correct? Are there any other lines to be included?

The clock we are trying to bring in is 50MHz.

Once the code was programmed, we got 2.3V on the multimeter for all the output JTAG pins. We don't understand the reason for this. And there is no waveform seen on the oscilloscope. The LED was connected at this PIN_D26 with respect to the ground. 

Please help us regarding this issue. 

 

Thank You

0 Kudos
AqidAyman_Intel
Employee
149 Views

Hello,


Are you using an Altera board or your custom board?


For the statement: Once the code was programmed, they got 2.3V on the multimeter for all the output JTAG pins.


May I know what you meant by this? There is only one JTAG output pin which is - TDO. Do you power the pin with a 2.5V?


Can you help to measure the clock with the oscilloscope and verify if it is toggling?


As a sanity check, it is recommended for you to run RTL simulation first.


Regards,

Aqid


0 Kudos
FPGA_user2
Beginner
21 Views

Hello AqidAyman_Intel, 

 

Sir, I am using a custom board.

FPGA board.jpg 

 

For the statement: Can you help to measure the clock with the oscilloscope and verify if it is toggling?        

Yes, I have checked the clock output in the oscilloscope; it's sinusoidal with a 50 MHz frequency. The IC number is U7. The oscilloscope used was 100MHz, 2GSa/s. 

 

The RTL simulation is also run.

RTL simulationRTL simulation

 

Could we have a Teams meeting to discuss further about this issue? 

 

Thank You

 

 

 

 

 

0 Kudos
AqidAyman_Intel
Employee
34 Views

Hello,


May I know do you have any updates on this issue?

Do you need more help?


Regards,

Aqid


0 Kudos
Reply