- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hello.
I need to compare value change dump files of different EDA vendors, say Mentor and Aldec. I've written the simplest test bench:- `timescale 1ns / 100ps //
- `define PERIOD_OF_CLK 10 // 100mhz => 10ns with respect to timebase (1ns)
- `define HALF_PERIOD_OF_CLK (`PERIOD_OF_CLK / 2)
- `define END_TIME 50 // 50ns
- module test_tb;
- reg clk_tb = 1'b0;
- initial begin
- $dumpfile("test_tb.vcd");
- $dumpvars( 1, clk_tb);
- end
- initial begin
- #0 clk_tb = 1'b0;
- forever
- #`HALF_PERIOD_OF_CLK clk_tb = !clk_tb;
- end
- initial begin
- #`END_TIME $finish;
- end
- endmodule
CompareVCD.jpg
(Virus scan in progress ...)
Link Copied
0 Replies

Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page