Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)

VHDL "+" Operator

Altera_Forum
Honored Contributor II
1,010 Views

Hello, 

 

I an trying to find and understand how the "+" Operator works in VHDL. I understand the logic and operation of "+" but wanted to know where I can find the library that defines the operator as a function and what kind of binary addition method does "+" make use of as an operator.  

 

Thanks alot in advance :o:o:o 

 

 

Kind regards,
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
247 Views

You can find it in many places, as it is defined for several types. 

In numeric_std, it is defined for unsigned and signed types. 

In std.standard it is defined for integers. 

In VHDL 2008, it is defined in the fixed_pkg for ufixed and sfixed types, and in numeric_std_signed/unsigned it is defined for std_logic_vector type. 

 

But that wont really tell you how it is implemented. Arithmatic is usually treated as an abstracted function, so it wont map directly to the hardware that is implemented. The best example is multiply where the user has several options on how it is implemented (logic, dsp etc).
0 Kudos
Reply