Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

Warning: (vsim-3473) Component instance "mem_map : system_mem" is not bound.

ahmedhs
Beginner
785 Views

Hello

I was simulating my project and got this warning.

I checked the "mem_map : system_mem" in the testbench and couldn't find the problem.

I uploaded the testbench and system_mem.

Hopefully you can help me.

0 Kudos
3 Replies
ShengN_Intel
Employee
760 Views

Hi,

 

I try to compile and simulate the design files you attached. However, I can't access to work.keccak_globals as you didn't provide the library file.

I notice both component and entity names are same (system_mem) should be not a problem. May be you can try in testbench like below:

 for mem_map : system_mem use entity work.system_mem; 

begin

mem_map : system_mem port map(clk,rst_n,enR_mem,enW_mem,addr_mem,data_to_mem_mem,data_from_mem);

 

OR

begin

mem_map : entity work.system_mem port map(clk,rst_n,enR_mem,enW_mem,addr_mem,data_to_mem_mem,data_from_mem);

 

May also take a look at this:

Component Not Bound 

Symptoms:   

   - Warning: (vsim-3473) Component instance "fifo_receiver : fifo" is not bound.

   - Signals coming in from a component remain unsigned.

Causes:

 When simulating, a component used in the design could not be found.

Solution:

   - Ensure that the component declaration matches the entity declaration exactly in the component file.

   - Ensure all files needed for the design have been compiled.

   - Check to make sure your directories are what you think they are and that your files are all in the same directory.

   - In a few cases, a component might be optimized out during the simulation causing this error. If everything about appears right, simulate with the -novopt command.

 

**May check on this link at the component declaration section as well.

 

Best Regards,

Sheng

p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.

 

0 Kudos
ShengN_Intel
Employee
739 Views

Hi,


May I know any further update or consideration?

Does the suggestion provided above help in resolving the problem? Thank you.


Best Regards,

Sheng

p/s: If any answer from community or Intel support are helpful, please feel free to mark as solution and give Kudos.


0 Kudos
ShengN_Intel
Employee
715 Views

Since there are no further feedback for this thread, I shall set this thread to close pending. If you still need further assistance, you are welcome reopen this thread within 20days or open a new thread, some one will be right with you. Thank you!


0 Kudos
Reply