Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16592 Discussions

aoc: unable to generate hardware for hello_world example

Altera_Forum
Honored Contributor II
2,112 Views

Hello, 

I am trying to generate the aocx file for the hello_world design example from the altera website. 

I'm using aoc and quartus 17.0 in Linux and when i try to run the command "aoc -v device/hello_world.cl -o bin/hello_world.aocx", I get the following error: 

aoc: First stage compilation completed successfully. 

Error: Compiler Error, not able to generate hardware. 

 

I am trying to generate the hardware for the s5_ref board. The AOCL_BOARD_PACKAGE_ROOT points to the appropriate dircetory. 

Emulation mode compilation and execution occurs just fine. 

 

The quartus_sh_compile.log file lists the following: 

*** Fatal Error: Segment Violation at (nil) 

Module: quartus_syn 

Stack Trace: 

0x60a43: google::protobuf::FileDescriptorTables::~FileDescriptorTables() + 0x33 (protobuf.so.8) 

0x3c53a: __cxa_finalize + 0x9a (c.so.6) 

0x21f4c: __libc_start_main + 0xfc (c.so.6) 

 

End-trace 

Error (114016): Out of memory in module quartus_syn (1695 megabytes used) 

 

There is enough and more memory in the system. Could somebody please help me rectify this error? 

 

Thank you.
0 Kudos
12 Replies
Altera_Forum
Honored Contributor II
556 Views

You should be pointing to the board in your aoc command: "aoc --board <board>" 

 

I don't know if that will fix the problem, but it's certainly something to try.
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

thank you for your suggestion sstrell 

I tried that too, and it leads to the same error.
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Do you have a license for the SDK?

0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Yes, i do. Earlier i had gotten a different error because I did not have appropriate license. Now I have the license, that issue is replaced by this one. 

Thanks
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

 

--- Quote Start ---  

There is enough and more memory in the system. Could somebody please help me rectify this error? 

--- Quote End ---  

 

 

And how much memory is that?
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

about 256 GB

0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Okay, that should be more than enough. Still, the "Out of memory" message in the log is generally accurate. Are you running multiple compilations in parallel? Maybe someone else was also using the machine for some memory-heavy operation? 

 

Also test with Quartus/AOC v16.1.2 for the sake of it; a lot of things related to OpenCL seems to have changed in v17.0, and not all of them for the better...
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Hello 

It was an issue with the specific installation. it has been resolved now. 

Thank you everyone for your concern and help
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Hi shruthiarav, can you tell us how you resolved this problem. I am having exactly the same issue.

0 Kudos
Altera_Forum
Honored Contributor II
556 Views

 

--- Quote Start ---  

Hi shruthiarav, can you tell us how you resolved this problem. I am having exactly the same issue. 

--- Quote End ---  

 

Well, my issue was because of some issues with how the ACDS was installed. I ended up having to reinstall the whole package. 

You could check if yours is an installation issue as well, by trying to invoke quartus_syn by itself(since the segment violation is in the quartus_syn module). 

quartus_syn is located in the $QUARTUS_ROOTDIR/bin - just try ./quartus_syn. If the same segment violation error occurs then, then it is an error related to the installation or it could be some OS compatibility issues.
0 Kudos
Altera_Forum
Honored Contributor II
556 Views

Your Computer must have at least 24GB of Ram

0 Kudos
Altera_Forum
Honored Contributor II
556 Views

 

--- Quote Start ---  

And how much memory is that? 

--- Quote End ---  

 

 

At least is 24GB of Ram
0 Kudos
Reply