Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

encryption public key

T_Cherry
Beginner
1,290 Views

Hello,

 

I'm T_Cherry. I need to have the key to encrypt my RTL for co-development a FPGA RTL codes with my partner on Quartus Prime Pro. Would kindly let me know how I could obtain the key?

 

Best regard!

T_Cherry

 

 

0 Kudos
4 Replies
T_Cherry
Beginner
1,263 Views

Hello, KennyT,

 

Yes, I have seen the page, and it says following two. I'm just trying both.

I'm asking the key to this forum,  as the second one says.

Could you kindly help me?

... encrypt_1735.exe

 

>• To obtain the encryption key, login or register for a My-Intel account, and then
>submit an Intel Premier Support case requesting the encryption key.
>• If you are ineligible for Intel Premier Support, you can submit a question regarding
>the "IEEE 1735 Encryption Public Key" to the Intel Community Forum for
>assistance.

 

Thanx!

T_Cherry

0 Kudos
Kazuyuki_K_Intel
Employee
1,212 Views

According to the user guide page 39, the public encryption key is needed only when you use a third-party tool.

  • Could you share the exact commands you executed and the messages from the command to understand your situation?

  • Could you share the Quartus Prime Software variant (Standard or Pro) and the version number?

 

encrypt_1735 tool shipped with Quartus can be used to encrypt RTL files such that they can only be decrypted by Quartus synthesis tool

The public key is embedded in this tool.

 

For example, to encrypt file foo.v to create encrypted file foo_enc.v, run the following command:

encrypt_1735 --quartus --language=verilog --of=foo_enc.v  foo.v

 

You can also encrypt files for simulation (for example, for modelsim or vcs simulators).

To get help on the command line arguments, run ‘encrypt_1735 -h’

0 Kudos
Kenny_Tan
Moderator
1,149 Views

Any further help still needed?


0 Kudos
Reply