Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16609 Discussions

how to add a file to library "work"

ahmedhs
Beginner
559 Views

Error (10481): VHDL Use Clause error at sha3.vhd(13): design library "work" does not contain primary unit "keccak_globals"

This is my error

I have the file keccak_globals but I don't know how to add it to library "work"

0 Kudos
3 Replies
sstrell
Honored Contributor III
544 Views

You don't normally need to do this.  As long as the design file is part of your Quartus project, you shouldn't have to reference the work directory.  Try just removing lines 12-13 from your code.

Is "keccak_globals" in some other design file?

ahmedhs
Beginner
534 Views

thank you so much your answer was enough 

I didn't understand that you have to move the file to the Quartus project as I'm new to this 

now everything works fine 

0 Kudos
RichardTanSY_Intel
529 Views

With sstrell help, I’m glad that your question has been addressed

With that, I will now transition this thread to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel support are helpful, please feel free to give Kudos. 


0 Kudos
Reply