Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16597 Discussions

quartus_map.exe crashed while elaborating design written with SystemVerilog

Altera_Forum
Honored Contributor II
1,331 Views

The stack dumping message is: 

Problem Details Error: Internal Error: Sub-system: VRFX, File: /quartus/synth/vrfx/verific/verilog/verimisc_elab.cpp, Line: 460 type Stack Trace: 0x51b90: vrfx_altera_assert + 0x20 (synth_vrfx) 0x182c03: VeriInst::Initialize + 0x83 (synth_vrfx) 0x18adf4: VeriModuleInstantiation::Initialize + 0x64 (synth_vrfx) 0xf03a8: VeriModule::InitializeInternals + 0xf8 (synth_vrfx) 0xf0262: VeriModule::Initialize + 0xf2 (synth_vrfx) 0xefff8: VeriInterface::ElaborateInterfaceObject + 0xc8 (synth_vrfx) 0x15f414: VeriInterfaceTypeDef::EvaluateInterfaceConstraint + 0x5b4 (synth_vrfx) 0x138c33: VeriDataType::EvaluateInterfaceConstraint + 0xb3 (synth_vrfx) 0x182c10: VeriInst::Initialize + 0x90 (synth_vrfx) 0x18adf4: VeriModuleInstantiation::Initialize + 0x64 (synth_vrfx) 0xf03a8: VeriModule::InitializeInternals + 0xf8 (synth_vrfx) 0xf0262: VeriModule::Initialize + 0xf2 (synth_vrfx) 0xef2c0: VeriModule::Elaborate + 0x510 (synth_vrfx) 0x709da: VRFX_VERIFIC_VERILOG_ELABORATOR::elaborate + 0x6da (synth_vrfx) 0x69a77: VRFX_ELABORATOR::elaborate + 0xd7 (synth_vrfx) 0xdb2f5: SGN_FN_LIB::elaborate + 0x245 (synth_sgn) 0xe3f1f: SGN_FN_LIB::start_vrf_flow + 0xf (synth_sgn) 0xe24fb: SGN_FN_LIB::start + 0xa1b (synth_sgn) 0xc302a: SGN_EXTRACTOR::single_module_extraction + 0x15a (synth_sgn) 0xb7db4: SGN_EXTRACTOR::recursive_extraction + 0x204 (synth_sgn) 0xb1223: SGN_EXTRACTOR::extract + 0x1d3 (synth_sgn) 0x1325e: sgn_qic_full + 0x19e (synth_sgn) 0x4391: qsyn_execute_sgn + 0x131 (quartus_map) 0x13bdc: QSYN_FRAMEWORK::execute_core + 0x12c (quartus_map) 0x136cf: QSYN_FRAMEWORK::execute + 0x3cf (quartus_map) 0x112cc: qexe_do_normal + 0x1ec (comp_qexe) 0x16132: qexe_run + 0x432 (comp_qexe) 0x16e01: qexe_standard_main + 0xc1 (comp_qexe) 0x1ab2b: qsyn_main + 0x51b (quartus_map) 0x12c58: msg_main_thread + 0x18 (CCL_MSG) 0x1427e: msg_thread_wrapper + 0x6e (CCL_MSG) 0x14240: mem_thread_wrapper + 0x70 (ccl_mem) 0x12341: msg_exe_main + 0xa1 (CCL_MSG) 0x29322: __tmainCRTStartup + 0x10e (quartus_map) 0x159bc: BaseThreadInitThunk + 0xc (kernel32) 0x2a2e0: RtlUserThreadStart + 0x20 (ntdll) End-trace Executable: quartus_map Comment: None System Information Platform: windows64 OS name: Windows 7 OS version: 6.1 Quartus Prime Information Address bits: 64 Version: 16.0.2 Build: 222 Edition: Standard Edition
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
502 Views

You'll need a raise a ticket via mysupport

0 Kudos
Reply