Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20642 Discussions

ALTPLL vs ALTPLL_RECONFIG

Altera_Forum
Honored Contributor II
1,846 Views

Hi All, 

 

What's the difference between the ALTPLL and ALTPLL_RECONFIG? When to use each one?  

 

Thank you!
0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
765 Views

Read through the "altpll_reconfig user guide (https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_altpll_reconfig.pdf)". This IP allows you to reconfigure the PLL from the FPGA fabric, whilst it's operating. 

 

The ALT_PLL IP has a static configuration, determined when the FPGA is configured. See the "alt_pll user guide (https://www.altera.com/content/dam/altera-www/global/en_us/pdfs/literature/ug/ug_altpll.pdf)" for comparison. 

 

When you might use each depends on your requirements. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Thanks for your reply.  

 

After I added the ALTPLL to the project, how can I re-configure it (the IP was just instantiated to the Project without using the QSys)? 

 

Thank you!
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

From within Quartus, open the Verilog or VHDL file that corresponds to the ALTPLL IP. That should open the IP editor (rather than the code in a text editor), allowing you to modify the settings. 

 

Cheers, 

Alex
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

Thank you! It worked for me :-)  

 

Now, how can I simulate this IP, which was already instantiated to my RTL (I'm using the Altera Modelsim Starter simulator)? Should I need some special files to my project in order to simulate the IP?  

 

Here is a list of the files, which were generated from IP Catalog: 

alt_pll_bb.v 

alt_pll.bsf 

alt_pll_inst.v 

alt_pll.ppf 

alt_pll.qip 

alt_pll.v 

 

Thank you
0 Kudos
Altera_Forum
Honored Contributor II
765 Views

You need to compile the relevant Altera libraries before you can simulate one of the megafunctions - including ALTPLL. 

 

Enter the following lines to the Modelsim command line:vlib altera_mf_ver vmap altera_mf_ver altera_mf_ver vlog -work altera_mf_ver {QUARTUS_ROOT_DIR}/eda/sim_lib/altera_mf.v  

Replacing {QUARTUS_ROOT_DIR} with a route directory or environment variable. This will compile the library, dumping it to disk. So, you only need do this once (per library release). 

 

You'll then need to modify your vsim command to make it aware of your newly compiled library.vsim -L altera_mf_ver -t ps work.{top} 

Where {top} is your top level simulation entity. 

 

Cheers, 

Alex
0 Kudos
Reply