Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Clock capability of Cyclone V 5CGXFC5C6F27C7N

Altera_Forum
Honored Contributor II
993 Views

I am trying to run the a Cyclone V 5CGXFC5C6F27C7N using the PLL at 500Mhz. How does this part react to that clock frequency? Has any one done that, also I'm using it on the Terasic Cyclone V GX Starter Kit.

0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
290 Views

Hi, 

 

The value is under the specification you can operate at 622 MHz. Normal operation is expected.  

But limited in the Quartus Prime software by the I/O maximum frequency. The maximum I/O frequency is different for each I/O standard. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Reply