Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

Data Transfer from PC to FPGA

Altera_Forum
Honored Contributor II
1,794 Views

Hello, 

 

I am looking for a solution to transfer images from PC to my FPGA board. I am using the Terasic DE5-Net board with a Stratix V FPGA. The board is connected by the USB Blaster cable to the PC.  

 

Is it possible to transfer the images via the USB Blaster cable to the FPGA?  

 

If you have any other ideas, please let me know.  

 

Thank you for your help.  

Jan
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
517 Views

Why not use PCIe? If that's more than you want to tackle then the serial port is another option. No way to use the USB blaster that I'm aware of.

0 Kudos
Altera_Forum
Honored Contributor II
517 Views

There are actually many way to transport user data, e.g. images through USB Blaster and JTAG. They all use different SLD (system level debugging) JTAG functions, e.g. JTAG UART, In-system-memory-content editor, Virtual JTAG MegaFunction.

0 Kudos
Altera_Forum
Honored Contributor II
517 Views

See http://electro-logic.blogspot.it for some examples

0 Kudos
Altera_Forum
Honored Contributor II
517 Views

Ok, thank you very much. I think I will use use serial port.  

 

Thanks!
0 Kudos
Reply