Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers

FPGA

Chamathka
Beginner
1,037 Views

I am a beginner in FPGA programming. I wanted to blink LED on my FPGA board. Code compilation was done successfully. So, I programmed it on my DE0- Nano Altera FPGA board. But I could not see the LED blinking (I tried it in different ways using different pins). I want to know the issue of this. 

0 Kudos
10 Replies
sstrell
Honored Contributor III
1,026 Views

This is a very generic description of a problem.  Can you provide more detail?  Did you make I/O pin assignments to connect the outputs of your design to LEDs on the board?  Can you provide the HDL code?

0 Kudos
Chamathka
Beginner
994 Views

module LED_blink(clk,outp);
input clk;
output reg outp=0;

reg [25:0] count=0;

always@(posedge clk)
begin
if(count<25000000) // blink 1 sec as clk freq is 50MHz
begin
count<=count+1;
end
else
begin
count<=0;
outp<=outp;
end
end
endmodule

 

0 Kudos
sstrell
Honored Contributor III
971 Views

You're never changing the value of outp.  It's always 0.

0 Kudos
Chamathka
Beginner
962 Views

I didn't change the output value. That is 0.. Can you help me with this to generate a pulse 

 

0 Kudos
sstrell
Honored Contributor III
951 Views

You have to say outp <= ~outp.

0 Kudos
Chamathka
Beginner
933 Views
0 Kudos
SyafieqS
Moderator
1,019 Views

Hi Athauda,


The question is very generic lot. Can you narrow down the issue ? Make sure the functionality is correct. Assuming code wise and functionality is simulated as expected, maybe some location assignment/pin planner for you PIO wrongly assigned? Need to double check.


0 Kudos
Chamathka
Beginner
993 Views

I checked it by changing the pins as well. But no change

0 Kudos
SyafieqS
Moderator
836 Views

Athauda,


Any update at your end regarding this?


0 Kudos
Chamathka
Beginner
728 Views

Yes.. I got it using above code by editing it as outp <= ~outp.

0 Kudos
Reply