Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

How to Setup Output Using GPIO

Altera_Forum
Honored Contributor II
936 Views

[h=2][/h]usually i construct logical circuit then assign input switch n push button and for output using led and seven segment.... Now i want try GPIO but i dont know how to use and assign pin planner.. I need guide..plz 

0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
187 Views

GPIO is quite common IP. Any eval kit or board reference design with NIOS would walk you through http://www.alterawiki.com/wiki/bemicro_max_10 .

0 Kudos
Altera_Forum
Honored Contributor II
187 Views

Any eval board reference design with NIOS would walk you through how. Eg,http://www.alterawiki.com/wiki/bemicro_max_10

0 Kudos
Altera_Forum
Honored Contributor II
187 Views

Use the pin planner provided by Quartus IDE and the user manual for your board, to decide which pins are mapped to which GPIO pins.  

Later update the preloader and device tree, and then you should have it working.
0 Kudos
Reply