Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20704 Discussions

How to tie an output pin to '0' or '1' internally using constraints?

Altera_Forum
Honored Contributor II
1,057 Views

Hi All, 

 

How can I define a default (power up) value for the output pin using Quartus-II constraints?  

 

I know I can do so in RTL, but I'd like to do it by constraints.  

 

The pin should drive constant '1'. So, how to tie it internally to '1' by Quartus-II constraint? 

 

Thank you!
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
395 Views

You can't make a pin drive logic '1' using a constraint. The best you can do is make all unused pins as inputs with weak internal pullups. Internal pullups will keep the pins high as long as they aren't pulled down or driven low externally. Go to Assignments -> Device -> Device and Pin Options -> Unused Pins.

0 Kudos
Reply