Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20725 Discussions

Impedance of Input pin

CLa_R
Novice
509 Views
I need to know the pin input impedance of my FPGA Altera max10 or Altera Cyclone IV.
Where I can find it?
 
Can someome tell me the order of magnitude of input impedance, please?
Thanks in advance.
0 Kudos
2 Replies
AminT_Intel
Employee
478 Views
0 Kudos
AminT_Intel
Employee
457 Views

We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you.

0 Kudos
Reply