Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

MAX V add pull up resistor for input

Altera_Forum
Honored Contributor II
1,550 Views

Hi Guys, 

I'm not sure how to add a pull up resistor for an input pin using Quartus Prime? 

I try to find it in Pin planner and assignment, but there is nothing shows to add a pull up resistor. 

Thanks!
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
582 Views

Right, the weak pull-up column need to be enabled before in the right mouse-button "customize columns" context menu.

0 Kudos
Altera_Forum
Honored Contributor II
582 Views

You can also enable it using command as below in QSF file 

 

set_instance_assignment -name WEAK_PULL_UP_RESISTOR ON -to * 

 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
582 Views

Thanks Guys! I found that option.

0 Kudos
Reply