Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

Modelsim existing with 211

Altera_Forum
Honored Contributor II
1,272 Views

Hi, 

 

Am using Altera Ethernet 1588 TOD synchronizer in my project, when I am trying to simulate 1588 TOD synchronizer its causing "Modelsim error exiting with error 211" 

 

without any error logs 

 

Any one suggest me how to simulate Altera Ethernet 1588 TOD synchronizer in modelsim I also tried with default parameter settings. 

 

Thanks 

Gayathri
0 Kudos
3 Replies
Altera_Forum
Honored Contributor II
347 Views

Hi Gayathri, 

 

Modelsim transcript has been attached for simulation follow the steps in it. 

 

For more information check the script generated and follow the steps given msim_setup.tcl file OR refer previous threads in the forum and link below. 

 

https://www.altera.com/en_us/pdfs/literature/ug/ug_gs_msa_qii.pdf 

https://www.altera.com/en_us/pdfs/literature/hb/qts/qts-qps-5v3.pdf 

 

Let me know if this has helped resolve the issue you are facing or if you need any further assistance. 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

Hi Anand  

 

Thanks a lot for your reply now I can able to simulate, but in my project am also using pll TOD clk ip, How to generate a unique msim_setup.tcl. 

 

In TOD clk my period clk is 125MHz, below look at below config of TOD clk IP 

 

PERIOD_CLOCK_FREQUENCY => 0, 

OFFSET_JITTER_WANDER_EN => 1, 

DEFAULT_NSEC_PERIOD => 8, 

DEFAULT_FNSEC_PERIOD => 0, 

DEFAULT_NSEC_ADJPERIOD => 8, 

DEFAULT_FNSEC_ADJPERIOD => 0 

 

am not getting output, what value should I give in DEFAULT_NSEC_PERIOD ,DEFAULT_FNSEC_PERIOD ,DEFAULT_NSEC_ADJPERIOD and DEFAULT_FNSEC_ADJPERIOD 

 

Pls do the needful 

 

Thanks 

Gayathri
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

Hi, 

 

msim_setup is for complete qsys system/soc which is generated by a tool.  

I have used a default setting itself. 

Can you share the project file(.qar)? 

 

Best Regards, 

Anand Raj Shankar 

(This message was posted on behalf of Intel Corporation)
0 Kudos
Reply