- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi,
We have a design with 2 EMIFs with user clock at 200Mhz and it had more than 3.5 ns timing failure when the RS8 logic was added. With design changes and iterations the design still fails by 2ns.
The fit.fastforward report makes some suggestions to add register stages which I have passed on the designers and waiting response.
The report also makes suggestions to change asynchronous clears to synchronous clears. I haven't made the changes in the code but I set it in qsf
set_global_assignment -name FORCE_SYNCH_CLEAR ON
But the the fit.fastforward report mentions that the asynchronous clear are not converted to synchronous. Please review the review report and help with timing closure.
Best,
BB
- Tags:
- Timing closue
Link Copied
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
When trying to close timing on an EMIF, following the Fast Forward recommendations for Hyperflex is not where you should start.
Verify the options you've specified in the EMIF IP Parameter Editor and run the EMIF-specific timing reports in the Timing Analyzer. What speed are you trying to run the EMIF at?
See the user guide here: https://www.intel.com/content/www/us/en/docs/programmable/683741/24-1-19-2-8/emif-ip-timing-closure.html
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi sstrell,
Thanks for your response. I probably didn't gave a good description of the issue. EMIFs are not really an issue in this case. The design was closing timing and working all right until we enabled/added some design and from closing timing, the timing failure shot to around 3.8 ns. This has been bought down to 2ii with design changes. This failure caused errors in the design so I started looking in to recommendations from fit.forward report.
As I mentioned earlier, I have forwarded the register addition suggestions to the designers. Regarding the change from asynchronous clear to synchronous clear, I enforced it in Quartus but it does not seem to take effect as the similar suggestions still persist in the fit.forward report. Hence uploaded the fit.forward reports and reached out for help. Please help with this large timigng. Let me know what other information I can provide.
Best,
BB
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
It would be helpful to show a timing report from the timing analyzer to see what paths are actually failing timing.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
The timing report file is beyond 1.6gb. With maximum compression its 51 MB. Is there a way that I upload that file. Here the maximum limit is 23 mb. I tried splitting the file with 7z but I got an error here when I tried to upload it.
Best,
BB
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
No, you should just run Report Timing in the Timing Analyzer and you can filter on just paths with negative slack. Why would this be 1.6 GB?
There's also the Report DDR task there.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Your report show a very high logic level with a 10-12 of levels of logic. This is not recommended as this will increase the delay on a timing path.
You will need to reduce the logic level to around 3 -5 by adding pipeline register. The number of logic level depends on your design requirement, you might need to reduce further to close timing.
Take note that adding pipeline will increase the clock latency.
https://www.intel.com/content/www/us/en/docs/programmable/683664/19-3/reduce-logic-levels.html
Regards,
Richard Tan
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi Richard
Thanks for reviewing the timing reports and making suggestions. We already went through inserting some pipeline stages and were able to lower the timing failure margin from 3.8 ns to around 2 ns. I was hoping someone might review the fit.fastforward suggestions and comment on why the quartus is not able to enforce the asynchronous clear to synchronous clear conversion. the fit.fforward report suggests that this might significantly improve the timing results.
Best,
BB
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I will need your design (Project > Archive Project) to investigate why the asynchronous clear to synchronous clear conversion is not taking place. The report alone does not provide enough information to determine the cause.
Alternatively, you can remove the asynchronous clear/reset by changing your code
example, use:
always @(posedge clk)
instead of
always @(posedge clk or negedge rst_n)
You may check AN917, 1.4.1. Reset Coding Techniques, for further details.
Regards,
Richard Tan
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Any update on this?
Do you need further help in regards to this case?
Regards,
Richard Tan
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi Richard,
Apologies for the delay in responding.
I will check with the team if we can share the design. I haven't still tried to change the reset to synchronous in the RTL. I will try doing that today or tomorrow and will update here what happens.
Best,
BB
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Any update on this?
Regards,
Richard Tan
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
HI Richard
I haven't yet converted the asynchronous reset to synchronous. I will get to it tomorrow.
I can share the archive of the design. Please let me know if I should upload it somewhere else or send to a any specific email address.
Best,
Bharat
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
I have email you the instructions on how to securely upload your design.
Please check your email inbox.
Regards,
Richard Tan
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi Richard,
I have uploaded the design at the secure site you sent the information about. Please let me know if I can provide any further information.
Best,
Bharat

- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page