Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Programming recommendation for MAX II CPLD in a production test environment

Altera_Forum
Honored Contributor II
1,116 Views

During prototype development I used Quartus II with a ByteBlasterMV to program a single EPM570 on the PCB. Now the project is moving to production and I require a way to program in a production test environment. There are so many programming options it is not at all clear to me which is the best for my situation. I need guidance as to what path to take. 

 

The production test environment consists of a PC operating under Visual Basic. A bed of nails will pick up the four JTAG pins. 

 

If anyone has a similar situation with a proven solution I would greatly appreciate your recommendation.
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
374 Views

Consult with your CM as some of them are able to wire up the JTAG pin of their test jig to configure plds, you just have to give them your .pof file

0 Kudos
Altera_Forum
Honored Contributor II
374 Views

All our production test equipment and programming is done in house. I need suggestions regarding how to program in a PC / VB environment. The plan so far is to integrate a USB Blaster for the hardware interface. What software interface can you suggest that will operate in the VB environment? It would be desirable to be able to call routines or use command line instructions to program, verify etc.

0 Kudos
Altera_Forum
Honored Contributor II
374 Views

Hello, 

 

I suggest that you take a look at this link: http://www.altera.com/support/devices/programming/max2/prg-max2.html 

 

Also, the Quartus II 7.1 Handbook (see http://www.altera.com/literature/hb/qts/quartusii_handbook.pdf) provides detailed information about scripting. For example, Page 751 shows that a binary called quartus_pgm is available & allows to program/configure CPLD/FPGA use command lines. Pages 2156-2157 provide additional details on this topic. 

 

I hope this helps. 

 

--jmv
0 Kudos
Altera_Forum
Honored Contributor II
374 Views

jmv, 

 

Thank you, this appears to be exactly what I need. I appreciate your help. 

 

Regards, 

rfournier
0 Kudos
Reply