Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20688 Discussions

Selecting correct clock signal on Cyclone V GT DevKit

KRasm4
Beginner
490 Views

I have recently acquired the Cyclone V GT Development Board https://www.terasic.com.tw/cgi-bin/page/archive.pl?Language=English&CategoryNo=167&No=843 , my first Intel board moving over from Xilinx boards. I'm having trouble getting my clock signal to work. I'm trying to use a very simple design, toggling an LED based on a counter. I have also added a simple forwarding signal between buttons and LED's, to check that the upload is working correctly (it is).

However, my counter and LED simply do not seem to work. I've tried using multiple clock signals as identified on pages 2-20 and 2-21 in the reference manual (http://www.altera.com/literature/manual/rm_cvgt_fpga_dev_board.pdf), but no matter which one I choose, the output is the same (the led regOut is constantly lit, meaning a logic 0 is driven onto the LED). I have attached the reset to a sliding switch, and have tried uploading the configuration with the switch in either configuration.

I have attached an image of my current pin mappings as well as the verilog code in question.

KRasm4_1-1611754538000.png

KRasm4_2-1611754639519.png

 

(As an aside: I'm also getting an error stating that all of my pin assignments are incomplete. I don't understand why this message is popping up. Any explanations?)

0 Kudos
3 Replies
KhaiChein_Y_Intel
477 Views

Hi,


Have you tried to simulate the design to check the functionality?

For incomplete assignment, you may check if you have set all the I/O assignments to the affected pins (pin location, I/O standard, etc). Use the Assignment Editor or the Pin Planner to add the missing I/O assignments to the affected pins.


Thanks

Best regards,

KhaiY


0 Kudos
KhaiChein_Y_Intel
464 Views

Hi,


Do you have any updates?


Thanks

Best regards,

KhaiY


0 Kudos
KhaiChein_Y_Intel
458 Views

Hi,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


Best regards,

KhaiY


0 Kudos
Reply