Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20689 Discussions

Tri-state output and OpenDrain-output in FLEX 10KA

Altera_Forum
Honored Contributor II
1,098 Views

Hi All,  

I don't undestand in FLEX 10KA. How does "Individual tri-state output enable control for each pin" work. How I can select between Tri-state output and OpenDrain output with IDE MAX+plus II. Where I can read about this?  

Thanks.
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
426 Views

You implement an opendrain ouput by using a Tristate output.: 

 

Pin = tri.out; 

tri.in = gnd; 

tri.ena = data; 

 

You can find the tri primitive ( AHDL ) in maxplus II at: 

 

help->primitives 

 

succes, 

 

Andries
0 Kudos
Altera_Forum
Honored Contributor II
426 Views

Hi, Andries! Thanks for answer. 

I am reprogramming now the old project on the chip FLEX 10K30A and wont to use Verilog HDL. The FPGA connects to RAM with the tri-state buses in 32-bits. When I implement the primitive TRI(in, oe, out), FPGA forms only 0,z states (It was open drain, I think). And all was OK with 0,1,z states when I implemented graphic primitive TRI from library c:\maxplus2\max2lib\prim in the graphic’s mode (It was full tri-states output, I think). In both cases I used TRI primitive. Why does it occur? Why I can’t work with tri-state buses in Verilog HDL and can only in *.gdf? What is wrong? 

Best regards, 

Serhiy.
0 Kudos
Reply