Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

error running emif example design.

CosmoKramer
Employee
1,374 Views

I am trying to run emif example design using model sim and running into this error after "ld_debug" command. 


# vlog -reportprogress 300 -sv C:/intelfpga_pro/22.3/quartus/eda/sim_lib/simsf_dpi.cpp 
# ** Fatal: (vlog-7019) Can't locate a C/C++ compiler for 'DPI C/C++ File Compilation'.

 

I am using quartus 22.3 and modelsim 2021.3. 

 

Example design was working fine with quartus 21.3 but I can't use it now because the fpga device we are using is not available on 21.3. 

 

I tried to follow this thread but I am not using model sim starter edition and there are no folders with names like "modelsim", "mingw32vc12", "vc9". 

 

https://community.intel.com/t5/Intel-FPGA-Software-Installation/Modelsim-ASE-starter-not-directly-support-UVM/m-p/1288715/highlight/true

 

I do have MinGW folder though. 

 

Please let me know how to fix this issue in quartus 22.3. 

 

Regards, 

Sandy

 

 

 

 

 

 

0 Kudos
15 Replies
AdzimZM_Intel
Employee
1,353 Views

Hi Sandy,


The Intel Quartus Prime Pro version 22.3 is no longer support Modelsim 2021.3 simulator. It's supported "Questa*-Intel® FPGA Edition" simulator version 2022.1

The supported simulators can be checked in the "Intel® Quartus® Prime Pro Edition: Version 22.3 Software and Device Support Release Notes" document in the link below.

LINK: https://www.intel.com/content/www/us/en/docs/programmable/683706/22-3/eda-interface-information.html


Regards,

Adzim






0 Kudos
CosmoKramer
Employee
1,348 Views

Thank you for the reply. 

My team uses modelsim and we were able to use it until quartus 21.3. 

Please let me know if there is a work around to use 22.3 with modelsim.

Shifting to questa sim at this point will delay our work. 

Regards, 

Sandy

0 Kudos
AdzimZM_Intel
Employee
1,339 Views

Hi Sandy,


Can you check in the Modelsim installation for the "gcc-4.2.1-mingw32vc12" folder?



I would like to reproduce the issue at my side.

The example design was generated with Quartus version 22.3. Then the design was simulated with Modelsim 2021.3. Is it right?

Can you let me know which device that you used?


Thanks and Regards,

Adzim


0 Kudos
CosmoKramer
Employee
1,325 Views

Hi Adzim, 

 

I am using modelsim 2021.3 and quartus 22.3

 

Attached is the screenshot of gcc compiler. 

 

Please let me know if you need more info. 

0 Kudos
AdzimZM_Intel
Employee
1,291 Views

Hi Sandy,


Can you check C compiler path in the environment variable in your system?

  1. Go to Control Panel --> System & Security --> System --> Advanced system settings --> Environment Variables...
  2. Under User Variables, go to "Path" and click "Edit".
  3. Check that the following path is included: <modelsim installation path>\modelsim_ae\win32aloem
  4. If the path is missing, create a new path for that.


I have created an example design from Quartus version 22.3. And I have simulated with Modelsim 2021.2 and Questasim 2021.3.

But I don't see any error occurs during the simulation.

By any change, can you simulate the design with previous Modelsim version such as 2021.2?


Is it possible for you to provide the full error log and the design here?


Regards,

Adzim



0 Kudos
CosmoKramer
Employee
1,284 Views

Thank you for the response, Adzim. 

 

We do not have 2021.2, I will check if I can get this version installed. 

I do not see the path you mentioned - \modelsim_ae\win32aloem - in 2021.3.

 

Is this path specific to 2021.2?

is there a corresponding path in 2021.3?

 

As shown in the picture attached with an earlier reply, modelsim is installed at this location -

C:\modeltech64_2021.3

 

Regards, 

Sandy

0 Kudos
AdzimZM_Intel
Employee
1,280 Views

Hi Sandy,


There is typo in my previous reply. I have simulated the design in Modelsim 2021.1 not 2021.2. Sorry for that.


The path should be applicable for ModelSim Intel FPGA.

Are you using ModelSim from Intel?


Is there any win32aloem folder inside the Modelsim installation directory?

It's should be located at same directory of gcc-4.5.0-mingw64vc12 folder.


If yes, can you check the environment variable for that win32aloem path?


Regards,

Adzim



0 Kudos
CosmoKramer
Employee
1,275 Views

we do not have 2021.1 either.  I will have to check if i can get it on my machine. 

we have 2020.1 and 2021.3. 

My entire team is using 2021.3. 

 

I am attaching screen shot of contents of the directory that was created when we install 2021.3. 

It does not have the folders you mentioned. it did not even come with "gcc-4.5.0-mingw64vc12". 

I downloaded it and copied it to C:\modeltech64_2021.3\win64 as part of the debug step. 

 

i checked install directory for 2020.1 and it does not also have the directories you mentioned. 

 

please can you tell me how make modelsim 2021.3 work with quartus 22.3?

 

Regards, 

Snady

0 Kudos
AdzimZM_Intel
Employee
1,244 Views

Hi Sandy,


The gcc folder supposes to be located at Modelsim directory.

Try to paste it in C:\modeltech64_2021.3\ and check if that is working.

If not maybe you can try to reinstall the Modelsim to make sure at all files are installed.


Regards,

Adzim



0 Kudos
CosmoKramer
Employee
1,194 Views

I tried what you suggested. 

and got a different error:

 

Fatal: (vsim-3828) Could not link 'vsim_auto_compile.dll': cmd = 'C:/modeltech64_2021.3/gcc-4.5.0-mingw64vc12/bin/gcc.exe -shared -o "C:/Users/spochira/AppData/Local/Temp\spochira@SPOCHIRA-DESK1_dpi_18828\win64_gcc-4.5.0\vsim_auto_compile.dll" E:/ddr_example/example_designs/emif_example_1_25/sim/ed_sim/mentor/libraries/work\_dpi\auto_compile@\win64_gcc-4.5.0\simsf_dpi.o -Wl,-Bsymbolic -L"C:/modeltech64_2021.3/win64" -lmtipli'
# (vsim-50) A call to system(C:/modeltech64_2021.3/gcc-4.5.0-mingw64vc12/bin/gcc.exe -shared -o "C:/Users/spochira/AppData/Local/Temp\spochira@SPOCHIRA-DESK1_dpi_18828\win64_gcc-4.5.0\vsim_auto_compile.dll" E:/ddr_example/example_designs/emif_example_1_25/sim/ed_sim/mentor/libraries/work\_dpi\auto_compile@\win64_gcc-4.5.0\simsf_dpi.o -Wl,-Bsymbolic -L"C:/modeltech64_2021.3/win64" -lmtipli) returned error code '1'.
# No such file or directory. (errno = ENOENT)
#
#

0 Kudos
RichardTanSY_Intel
1,229 Views

Try to install the latest Modelsim Intel FPGA Edition in the link here: Version 2021.1. (Quartus 21.2)

https://www.intel.com/content/www/us/en/software-kit/670232/intel-quartus-prime-pro-edition-design-software-version-21-2-for-windows.html

 

You should see the gcc-4.2.1-mingw32vc12 at the folder directory here.

RichardTanSY_Intel_0-1675230953886.png

 

Best Regards,

Richard Tan

 

 

 

0 Kudos
CosmoKramer
Employee
1,194 Views

i did not try this modelsim that comes with quartus because we use the questasim directly from mentor, as rest of our testbenches are not built around quartus ips. 

Is there a major difference between these two? 

 

 

 

0 Kudos
RichardTanSY_Intel
1,090 Views

Questa*-Intel® FPGA Edition software only supports Intel’s (Quartus) simulation libraries, whereas Questa* Core can support any simulation libraries. The Questa*-Intel® FPGA Edition software includes all the features of Siemens EDA Questa* Core, including behavioral simulation, HDL test benches, and Tcl scripting.

However, features specific to Questa* Prime are not supported in the Questa*-Intel® FPGA Edition software. Questa*-Intel® FPGA Edition software is slower than the Siemens EDA Questa* Core and Questa* Prime software.

 

Best Regards,

Richard Tan

0 Kudos
RichardTanSY_Intel
1,208 Views

Any update on this?


Best Regards,

Richard Tan


0 Kudos
RichardTanSY_Intel
1,060 Views

Issue solved by :

Installed questa that came with quartus 21.3, and copied to C:\modeltech64_2021.3\win64


As the issue has been solved, I now transition this thread to community support. 


Best Regards,

Richard Tan


p/s: If any answer from the community or Intel Support are helpful, please feel free to give best answer or rate 4/5 survey.



0 Kudos
Reply