- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Hi, everyone.
I have a problem measure period of impulse and I hope everyone help me. Thanks, a lot.Link Copied
5 Replies
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
First what range of periods would you need to measure and second what frequency clocks do you have available?
Assuming your clock is fast enough you can just have a counter than measures the period as a number of clock periods.- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Thanks! and you can for me VHDL code in common! with clock period is 10MHz and range of range of period is from 50 us 5000 us.
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Here's a basic code template. Signal and port definitions are left up to you.
process (clk)
begin
if rising_edge(clk) then
pulse_inp_sync <= pulse_inp;
pulse_inp_prev <= pulse_inp_sync;
if (not pulse_inp_prev and pulse_inp_sync ) = '1' then
counter <= (others => '0');
period <= counter;
elsif counter < MAXCOUNT then
counter <= counter + 1;
end if;
end if;
end process;
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Thanks! But you can draw for me timing schematic?
- Mark as New
- Bookmark
- Subscribe
- Mute
- Subscribe to RSS Feed
- Permalink
- Report Inappropriate Content
Thanks! FvM. I have completed measure period of pulse. And now I have a question: Everyone, Who used DDR2 SDRAM in DSP development Kit Cyclone II Ep2c35F672C6?

Reply
Topic Options
- Subscribe to RSS Feed
- Mark Topic as New
- Mark Topic as Read
- Float this Topic for Current User
- Bookmark
- Subscribe
- Printer Friendly Page