Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20691 Discussions

modelsim library problem

Altera_Forum
Honored Contributor II
1,295 Views

hi. I am using quartusII 13.0 and modelsim. I built a library and a pachage file in it. I can use this library in quartus There is no error but When I try to compile project in modelsim there is two error. one of them is "Unknown expanded name." and other is "VHDL compiler exiting.". Is there somebody can help me??

0 Kudos
5 Replies
Altera_Forum
Honored Contributor II
347 Views

Without the code/compile script and the actual error, we have no idea what the problem is.

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

library ieee; 

use ieee.std_logic_1164.all; 

use ieee.std_logic_unsigned.all; 

library work; 

use work.ornekler_paket.all; 

 

this is my library definitions in quartus and there is no problem in quartus. 

 

# ** Error: C:/Users/asuss/Desktop/fpga/ram_blogu/ram_blogu.vhd(5): Unknown expanded name. 

# ** Error: C:/Users/asuss/Desktop/fpga/ram_blogu/ram_blogu.vhd(7): VHDL Compiler exiting 

 

and this is the errors when I try to compile this code in modelsim.
0 Kudos
Altera_Forum
Honored Contributor II
347 Views

I couldnt find what I am doing wrong. I cant find like this error in web.

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

It looks like you havent compiled the ornekler_paket file. Where is the compile script?

0 Kudos
Altera_Forum
Honored Contributor II
347 Views

yes you are right the pachage must be compiled first. So much thank you for your help I tried to do this since 4-5 days but I couldnt think pachage must compile. Thank you very much again Mr. Tricky.

0 Kudos
Reply