Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20705 Discussions

quartus_sh does not run from Tcl Console, but can be run cmd line

Altera_Forum
Honored Contributor II
1,598 Views

Hi, 

my problem is that I can not run quartus_sh from Tcl Console (invalid command name "quartus_sh"), but it works from cmd line. The path to the 'bin' added to PATH variable. I use Quartus 14.0. Cannot find any solutions in the forum. 

Do you know how to solve the problem?
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
701 Views

Felixipg, 

Are you trying to get the DSE feature working? 

-Trukng
0 Kudos
Altera_Forum
Honored Contributor II
701 Views

No, I want to run some_script.tcl before compilation. To do it I set "set_global_assignment -name PRE_FLOW_SCRIPT_FILE quartus_sh:some_script.tcl" in tcl console. 

But there is no effect from setting the assignment. Now I see that quartus_sh does not work at all:  

quartus_sh 

Error:invalid command name "quartus_sh" 

Error: while executing 

Error:"unknown_original quartus_sh" 

Error: ("eval" body line 1) 

Error: invoked from within 

Error:"eval unknown_original $cmd $args" 

Error: (procedure "::unknown" line 7) 

Error: invoked from within 

Error:"quartus_sh" 

 

But there is no problems with running quartus_sh from command line...
0 Kudos
Altera_Forum
Honored Contributor II
701 Views

 

--- Quote Start ---  

Hi, 

my problem is that I can not run quartus_sh from Tcl Console (invalid command name "quartus_sh"), but it works from cmd line. The path to the 'bin' added to PATH variable. I use Quartus 14.0. Cannot find any solutions in the forum. 

Do you know how to solve the problem? 

--- Quote End ---  

 

 

I encountered the same problem also. Has anyone got the solution? Please help
0 Kudos
Altera_Forum
Honored Contributor II
701 Views

 

--- Quote Start ---  

... To do it I set "set_global_assignment -name PRE_FLOW_SCRIPT_FILE quartus_sh:some_script.tcl" in tcl console ...  

--- Quote End ---  

 

 

Works for me. You have to put this line into your project's .qsf file, not into the tcl console.
0 Kudos
Reply