Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20642 Discussions

unable to complete the simulation

LHala
Beginner
884 Views

I received the following messege when running a simulation using  # ** Error: Failure to obtain a Verilog simulation license. Unable to checkout any of these license features: alteramtivsim or alteramtivlog.

 # Error loading design

0 Kudos
4 Replies
Abe
Valued Contributor II
441 Views

Which version of Quartus and ModelSIm are you using? The Lite versions do not require a license for Quartus, while ModelSim Intel starter edition also works free for 1000 lines of code.

All of the other versions are paid versions and require licenses to use them.

0 Kudos
LHala
Beginner
441 Views

Thank you @Abe​  for your prompt response

I am using Quartus II V. 13.0. I think I am using the free License version as am still a beginner in this field!!

0 Kudos
Abe
Valued Contributor II
441 Views

Ok, since you're using a subscription version of Quartus, I assume you have also purchased the ModelSim license as well. Can you run the following command in Command prompt and post the output here:

 

lmutil lmdiag -c <path_to_license_file>

 

or

 

lmutil lmdiag

 

and press Enter. This command will try to read your existing licenses and will report if there are any errors. Please post the output here.

 

Also make sure that the license variables are setup correctly in your system, ie, they are pointing to the correct license file/path.

0 Kudos
GuaBin_N_Intel
Employee
441 Views
Refer to this document for licensing issue https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/manual/quartus_install.pdf, 4.5.6, pg36. Set the environment variable correctly to grab the modelsim license Eg, MGLS_LICENSE_FILE (<ModelSim installation directory>/licenses/eda/ license.dat)
0 Kudos
Reply