Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20641 Discussions

using MAX 10M50 ADC+DAC

Altera_Forum
Honored Contributor II
1,034 Views

Hey, 

i want to get the input data from ANAIN1 SMA, through ADC and then to the DAC8551, and then to get it out through DACOUT SMA. 

 

is there any reference design using DAC and ADC together? 

how do i sync the clock and the data? 

how do i implement the DAC8551 in VHDL? 

:confused::confused::confused: 

 

thanks Dor
0 Kudos
0 Replies
Reply