Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20689 Discussions

.vs File extension

SK_VA
Beginner
1,400 Views

Hi,

I have a reference design with some files that has .vs extension. These files are used in the design with module instantiations.

I am trying to compile the design in quartus. But I am not able to add .vs files in the project as it throws error.

 

Please help me with what .vs files extension is and how to add them in design.

 

0 Kudos
6 Replies
sstrell
Honored Contributor III
1,393 Views

.vs is not an HDL file extension.  Could these files have been saved incorrectly as .vs when they should be .sv (SystemVerilog)?

#iwork4intel

0 Kudos
KhaiChein_Y_Intel
1,388 Views

Hi,


.vs file is not supported in the software.


Thanks.

Best regards,

KhaiY


0 Kudos
SK_VA
Beginner
1,385 Views

Is it a valid file extension that is not supported by quartus.

Is there a way to change these files to .vs or .v and include in quartus project.

 

0 Kudos
sstrell
Honored Contributor III
1,382 Views

If they are actually Verilog or SystemVerilog files, just change the file extensions.

#iwork4intel

0 Kudos
KhaiChein_Y_Intel
1,369 Views

Hi SK_VA,


Do you have any questions?


Thanks.

Best regards,

KhaiY


0 Kudos
KhaiChein_Y_Intel
1,363 Views

Hi,


We do not receive any response from you to the previous question/reply/answer that I have provided. This thread will be transitioned to community support. If you have a new question, feel free to open a new thread to get the support from Intel experts. Otherwise, the community users will continue to help you on this thread. Thank you


Best regards,

KhaiY


0 Kudos
Reply