Programmable Devices
CPLDs, FPGAs, SoC FPGAs, Configuration, and Transceivers
20677 Discussions

what exactly the DSP 18-bit Elements mean in stratix iv?

Altera_Forum
Honored Contributor II
1,384 Views

Hi, 

 

Please let me know what exactly the DSP 18-bit Elements mean in resource utilization report. 

Its a 18x18 multiplier or ???. 

 

Because in my fit report its showing that 

--------------------------------------------------------------------------------  

DSP 18-bit Elements ; DSP 9x9 ; DSP 12x12 ; DSP 18x18 ; DSP 36x36 ; 

--------------------------------------------------------------------------------- 

710 ; 2 ; 0 ; 164 ; 127 ; 

--------------------------------------------------------------------------------- 

 

If it is a 18x18bit multiplier then above total of 710 is not matching with other multiplier (164+(127*2)+2 = 420). 

 

Can any one help regarding this. 

 

Thanks in advance. 

Manju.P
0 Kudos
4 Replies
Altera_Forum
Honored Contributor II
394 Views

In Altera terminology dsp element refers to blocks in some devices whic consist of 4 mults + 2 adders (targeting e.. complex multiplication). the problem is that while they accept eight full 18 bit inputs but they have 72 bits for outputs so if you don't use adders you are likely to lose some of these 4 mults

0 Kudos
Altera_Forum
Honored Contributor II
394 Views

Dear sir, 

Thanks for replay, 

 

In previous calculation(164+(127*2)+2 = 420) some correction is there.. 

164+(127*4)+1 = 673. 

 

Because 36x36 uses the four 18x18bit multiplier. 

Then what about 37 18bit elements???... its no where reflecting in my report. 

can you tell me what exactly happening.. 

 

Manju.P
0 Kudos
Altera_Forum
Honored Contributor II
394 Views

I don't understand your interpretation of your sums. My understanding is that quartus reports say 10 18x18 or 20 9x9 equivalently referring to same resource. so you may have: 

10 18x18, 20 9x9, 12 18x18 elements meaning you wasted two mults
0 Kudos
Altera_Forum
Honored Contributor II
394 Views

kaz is on the right track, the multiplier blocks are output bound. which part are you using?

0 Kudos
Reply