FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

Documentation on MAX10 fiftyfivenm_rublock command

SWinc
Beginner
1,979 Views

Hello,

 

I am looking for the documentation that describes the protocol used to update CFM0 / CFM1&CFM2. UG-M10CONFIG mentions the fiftyfivenm_rublock, but I can not seem to find any documentation that describes the correct sequence of events to update the configuration memory.

 

Any help would be greatly appreciated.

 

Thank you,

Sam

0 Kudos
4 Replies
Nooraini_Y_Intel
Employee
1,141 Views

Hi Sam @SWinc​,

 

In order to update the CFM0 and CFM1/2 memory block, you need to use the On-Chip flash IP. The fiftyfivenm_rublock does not function to access CFM or UFM memory block in the MAX 10. You can refer to the MAX 10 User Flash Memory user guide for the details on the On-Chip Flash IP protocol.

https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/ug_m10_ufm.pdf

 

Regards,

Nooraini

0 Kudos
SWinc
Beginner
1,141 Views

I am still missing something. What is the purpose of the fiftyfivenm_rublock block (which is mentioned in https://www.intel.com/content/dam/www/programmable/us/en/pdfs/literature/hb/max-10/ug_m10_config.pdf, specifically "2.2.1. Remote System Upgrade" which mentions the rublock as the method of updating through User Logic) ?

 

Thank you for your reply

0 Kudos
Nooraini_Y_Intel
Employee
1,141 Views

Hi Sam @SWinc (Customer)​,

 

The fiftyfivenm_rublock is use in the Dual Boot IP to perform configuration (to switch) between image 0 and image 1 store in the CFM0 and CFM1/2 memory block respectively. You can refer to figure 3 under chapter "2.2.1. Remote System Upgrade" which only shows the process to switch between image 0 and image 1.

Regards,

Nooraini

 

0 Kudos
jozephka99
New Contributor II
1,015 Views

So, we have to use this block if we want to RSU through user logic. But there is no documentation about this. How can we exactly build a user logic to perform RSU. Also this fiftyfivenm_rublock is in verilog. How can we implement this into VHDL.

0 Kudos
Reply