FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6343 Discussions

Error 12006: undefined entity

Altera_Forum
Honored Contributor II
1,688 Views

Hi Everybody, 

 

I got this error 

Error (12006): Node instance "instrumentation_fabric" instantiates undefined entity "alt_sld_fab". Make sure that the required user library paths are specified correctly. If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. Otherwise, define the specified entity or change the calling entity. The Altera Knowledge Database contains many articles with specific details on how to resolve this error. Visit the Knowledge Database at https://www.altera.com/support/support-resources/knowledge-base/search.html and search for this specific error message number. 

 

I checked all reasons from the beginning: 

1. Make sure that the required user library paths are specified correctly. --> Ok  

2.If the project contains EDIF Input Files (.edf), make sure that you specified the EDA synthesis tool settings correctly. 

 

http://www.alteraforum.com/forum/attachment.php?attachmentid=12682&stc=1  

Do I correctly set up? 

3.Otherwise, define the specified entity or change the calling entity. 

I really do not know how to check it?? 

 

Would you mind to help me with the second and third reason?
0 Kudos
1 Reply
Altera_Forum
Honored Contributor II
654 Views

Hi everybody!  

I have just found out a clue for my problem.  

 

Node instance "instrumentation_fabric" is created after I use Signal Tap II Logic Analyzer. I think that this instance uses for auto_signaltap_0 instance in SignalTap.  

 

Thanks for reading!
0 Kudos
Reply