FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6359 Discussions

How to simulate MAX10 UFM with Modelsim Altera-Starter Edition? (Quartus Lite 18.0)

LLe5
Beginner
2,332 Views

I have a testbench running with a UFM instance generated from Platform designer. I have managed to link the fiftyfivenm_unvm to the altera_onchip_flash module, but it is still not working (it is not giving out any signals). I have checked the altera_onchip_flash_avmm_data_controller instance inside the altera_onchip_flash module and it seems to be working correctly, but it keeps failing on write because the fiftyfivenm_unvm instance is not asserting any busy signal.

0 Kudos
3 Replies
Nooraini_Y_Intel
Employee
834 Views

Hi,

 

Currently I am reviewing the forum for any open questions and found this thread. I apologize that no one seems to answer this question that you posted. Since it has been a while you posted this question, I'm wondering if you have found the answer? If not, please let me know, I will try to assign/find someone to assist you. Please do expect some delay in response as most of our agents are out of office due to the year-end holidays. Thank you.

 

Regards,

Nooraini

 

0 Kudos
Rahul_S_Intel1
Employee
834 Views

Hi ,

May I know the error is the below

 Error: (vsim-3033)  .

if the above error is occurring kindly follow the below

 

1) Open modelsim, change directory to \test_design\UFM_sys\simulation\mentor

2) Execute => do msim_setup.tcl

3) Run command => ld

4) If using testbench, pls execute example testbench script in the working directory.

do ./../../../ sim.tcl

 

Regards,

Rs

 

 

0 Kudos
Stephanie
Novice
807 Views

I am having a similar issue with the Max10 UFM. I am able to get it to simulate but now the amm_data_readdata is 'XXXXXXXX' when datavalid asserts... 

0 Kudos
Reply