FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

Modelsim: Simulating a whole SOPC-System

Altera_Forum
Honored Contributor II
964 Views

Hello, 

 

is it possible to simulate a whole SOPC-System with Modelsim? So that you can see the io's of some Avalon components e.g.? 

 

Thanks for every hint! 

Best regards
0 Kudos
2 Replies
Altera_Forum
Honored Contributor II
290 Views

Yes it is possible, refer to NIOS documentation on the Altera website. AN351 is a good entry point. 

The only thing I can't simulate, it's the execution of the NIOS from an offchip (different than IDT71V416) SRAM as NIOS EDS doesn't generate the relevant .hex file, as it does when the execution is done from an onchip RAM...
0 Kudos
Altera_Forum
Honored Contributor II
290 Views

Ok, thanks for your hint! 

 

I've got a simple test design in SOPC-Builder: 

TestPatternGenerator -> ClockedVideoOutput 

 

So, there is no Nios, only these both components. 

 

I enabled "Simulation" in "System Generation Tab" and clicked "Run Simulator" and ModelSim opens. 

BUT, what do I have to do, that I can see the signals on the output of ClockedVideoOut or the Avalon signals? What is the next step, to see any signals? 

 

Do I have to write a testbench? But what does this testbench has to do? Only generate the system clock? 

 

Thanks for your assistance!
0 Kudos
Reply