FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP
6355 Discussions

Problem with DDR2 SDRAM Controller with Altmemphy

Altera_Forum
Honored Contributor II
1,191 Views

Hi, 

 

I have been working with Quartus 10.1sp1 web edition with cyclone III Development kit for 6 months now but some time ago the s/w stopped generating the .limited sof file if I used High Performance Arch II in the design which previously was working fine. It works only with HPC architecture in DDR2 SDRAM controller. I uninstalled and reinstalled many times but still the problem exsists. 

 

Does anyone have the same problem? I dont understand why this problem occured.Does anyone know about it? 

 

Thanks 

Sn
0 Kudos
8 Replies
Altera_Forum
Honored Contributor II
246 Views

What problem? The core doesn't generate .sof file at all or does generate, but not time limited?

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

Doesnt generate the.limited file.

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

So it doesn't generate any .sof file at all? 

Then check if You haven't disabled the .sof file generation if there ore time limited cores.
0 Kudos
Altera_Forum
Honored Contributor II
246 Views

It modifies the .sof file but the same design which was worked before with .limited sof file doesnt work with .sof file.It is strange..Since I am using the free version it should create the .limited sof file which is not being generated

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

I am not sure, but after certain version of Quartus, the DDR2 controller hasn't been encoded to limited version, so now it is probably free, but You better create a SR concerning this question.

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

I am not sure if I can create an SR because I am using 10.1sp1 and altera removed the support of all previous version of quartus.

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

No, the support still exists for 3 major versions of Quartus: 11.1, 11.0, 10.1

0 Kudos
Altera_Forum
Honored Contributor II
246 Views

Ok created I wait for reply

0 Kudos
Reply