FPGA Intellectual Property
PCI Express*, Networking and Connectivity, Memory Interfaces, DSP IP, and Video IP

SD-SDI 8bit

Altera_Forum
Honored Contributor II
1,461 Views

Hello, 

SD-SDI megacore supports SD-SDI 10bit mode, however I need 8 bit support. Is it possible to use 8bit mode or it will be impossible to sync the signal? If two LSB bits will be left unconnected, the 3FF/000/000 pattern won't be received and the data_valid signal won't go up?
0 Kudos
10 Replies
Altera_Forum
Honored Contributor II
664 Views

Hi, 

 

The SDI standard is requiring the support for both 10bit and 8 bit equipment. 

 

For example the synchronization words: 

" Each timing reference signal consists of a four-word sequence in the following format: 

3FFh 000h 000h XYZh 

Because of the existence of both 8- and 10-bit equipment, for detection purposes all values in the ranges 000h-003h and 3FCh-3FFh must be considered equivalent to 000h and 3FFh, respectively." 

 

Since Altera declare that the SDI IP is compatible to the standard, probably they support both 8- and 10-bit equipment. 

 

BR,
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Strange that it is not declared in the datasheet. If 8bit mode is supported, then I have to feed tx_data(9..2) with the 8bit data or tx_data(7..0) ? 

 

I've tried to check for FF pattern in 8bit signal, then convert it to 3FF. Then for other data I leave the same 8bit data & "00" in the end. Simply like that: 

if 8bit_data="11111111" then SDI_input="1111111111"; 

otherwise: 

SDI_input(9 downto 2) = 8bit_data; 

SDI_input(1 downto 0) = "00"; 

 

I am not able to debug signals, but when the I connect SDI output to the capture device, it says "Syncing", but no video on the display is shown. Seems like something goes on the line (otherwise it would show "No signal"), but nothing more happens. 

 

P.S. SDI core simulation doesn't work with ModelSim Altera Edition.
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Hi again, 

 

Please feed tx_data(9..2) with the 8bit data. 

I think that feeding the tx_data(1..0) with always "00" is OK but also look like what you did is OK. 

 

The simulation is working for me with the Modelsim Altera Edition. 

I used the SDI 10.1 User guide and Quarts 10.1. 

 

I think the simulation will be a good way to check that every thing you did is OK or not. 

 

BR,
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Hm, strange, I wasn't able to start the default simulation as described in the core dir. Well, gonna try on ModelSim SE @ home :) 

 

I wasn't able to make transmitter work. Data feeding seems correct, I can catch 3FF/000/000 signals, but no other possibilities to debug, so stopped for a while until I will generate new ideas :) Maybe SignalTap will help. 

 

Now for the received part, it is a bigger black box at all. Since I am doing SD-SDI on Cyclone II, the soft transceivers are used. I clock the RX core from PLL (inclk 27MHz) with 135MHz, 337.5MHZ and 337.5MHz+90deg as required and feed the SDI_Input with SDI signal, however I get no output signals except rxclk(which is 135MHz) and rx_data_valid, which occours about clk_135MHz/5, nothing more, no parallel data, no other signals. rxrst and en_sync_switch are always tied to '0'. Did I miss something?
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Solved. SD-SDI works with 8bit data. Two LSB bits must be tied to MSB bits. E.g. TX_DATA[0] <= TX_DATA[9]; TX_DATA[1] <= TX_DATA[8];

0 Kudos
Altera_Forum
Honored Contributor II
664 Views

 

--- Quote Start ---  

Solved. SD-SDI works with 8bit data. Two LSB bits must be tied to MSB bits. E.g. TX_DATA[0] <= TX_DATA[9]; TX_DATA[1] <= TX_DATA[8]; 

--- Quote End ---  

 

Hello, Socrates! 

Please let me know - where did You find this information?
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Socrates,  

 

You talked about connecting TX_DATA[0] <= TX_DATA[9]; TX_DATA[1] <= TX_DATA[8] between SDI Tx block and CVO output. 

 

I have a 8-bit video system as well but I want to connect SDI Rx block's RX_DATAOUT[19:0] to the Clocked Video Input. Can I just drop the 1 LSB from each byte when connecting to the CVI block? Will this work? 

 

Appreciate any suggestions!
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Not wit sure what your talking a about, but if its sd video, connect you r 8 bits to north 9:2 and 19:12. Then you can connect 1:0 and 11:10 to 7:6 of your video.

0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Hi Tricky, 

 

I guess I forgot to mention in my last post that my video system uses 8-bits per colour (2 colour planes in parallel i.e. Y/C) including the Clocked Video Input. This is why I want to know if there is a way to connect the SDI receiver's 20bit data bus somehow to my CVI's 16bit input bus. I just don't know if truncating the LSB bits will still allow the CVI to interpret the SDI data. 

 

Now I didn't quite understand your response. Can you kindly explain further? 

 

Appreciate your time!
0 Kudos
Altera_Forum
Honored Contributor II
664 Views

Hello, 

I had the same issue. I asked my Altera Supplier and he told me that the IP can only output 20 bit, even if the standard is also for 16 bit. 

Simply I truncated them. All went well for me. 

 

Bye
0 Kudos
Reply