FPGA, SoC, And CPLD Boards And Kits
FPGA Evaluation and Development Kits
5924 Discussions

Error while Custom Component Importing to Qsys

TBaki
Beginner
2,692 Views

I just added custom VHDL component in qsys. The module is just AND gate logic. I'm using quartus 13.1 version I'm getting error as,. Error: No modules found when analyzing null.

0 Kudos
21 Replies
Nurina
Employee
2,513 Views

Hi,


In this version of Quartus, if there was any error in your code, that error message would be all the Component Editor would display, which can be difficult for debugging. (this is a known bug that since been fixed in v17.1 https://www.intel.com/content/www/us/en/programmable/support/support-resources/knowledge-base/tools/2017/error--no-modules-found-when-analyzing-null.html)

 

Have you tried synthesizing the code directly in Quartus instead of through Qsys? It would provide detailed messages on any issues with the code. Once fixed, you can take it back through Qsys to build the component.

 

Another solution is to just migrate to a later version of Quartus where this issue is fixed.


Regards,

Nurina


0 Kudos
TBaki
Beginner
2,510 Views

The module got synthesized in quartus. I can't migrate to other version as I'm using Cyclone III FPGA. Higher version quartus won't support this FPGA

0 Kudos
Nurina
Employee
2,507 Views

Hi,


So after synthesizing on Quartus you tried to compile it on Qsys and only came across a problem there?


Do you get any error or warning messages on Quartus?


Regards,

Nurina


0 Kudos
sstrell
Honored Contributor III
2,483 Views

Can you post the code?

0 Kudos
TBaki
Beginner
2,474 Views

Quartus II 64-Bit Version 13.1.0 Build 162.

 

Error is Error: No modules found when analyzing null.

0 Kudos
sstrell
Honored Contributor III
2,470 Views

You have a semicolon at the end of 

b : out std_logic

Remove the semicolon and you should be good to go.

Sidenote: technically this is a register, not an AND gate.

0 Kudos
TBaki
Beginner
2,449 Views
0 Kudos
sstrell
Honored Contributor III
2,430 Views

It's blocked in your screenshot, but is the top-level module set correctly there on the Files tab in the Component Editor (as the error mentions)?

0 Kudos
TBaki
Beginner
2,422 Views

What you mean by component editor in Quartus II 64-Bit Version 13.1.0 Build 162?

0 Kudos
sstrell
Honored Contributor III
2,409 Views

The Component Editor is the tool you're using in the screenshot to create the custom component.

0 Kudos
TBaki
Beginner
2,392 Views

Ok. Yes I selected top level. It didn't work. 

0 Kudos
Nurina
Employee
2,438 Views

Hi,


Can you try analyze the HDL file again? I've tried to replicate your error and the problem is solved after removing the semicolon.


Regards,

Nurina


0 Kudos
TBaki
Beginner
2,423 Views

Hello,

May I know which version of quartus tool you used. I used Quartus II 64-Bit Version 13.1.0 Build 162. Possible to share your .qpf file please

0 Kudos
Nurina
Employee
2,395 Views

Hi,

I used Quartus Prime Version 17.1. I believe that if it's analyzed correctly here it should be the same in v13.1 as well. 

 

I've attached the .qar file here.

 

Regards,

Nurina

0 Kudos
TBaki
Beginner
2,394 Views

Hi, 

Version 15, 16 17 ..it will work. Try with version I mentioned. With version 16 it worked for me. 13.1 didn't work. so posted the query. Thanks

0 Kudos
Nurina
Employee
2,387 Views

Hi,

 

Can you try remove 'is' after 'process(clk)' and see if there's a difference there?

 

Thanks,

Nurina

0 Kudos
TBaki
Beginner
2,386 Views

Hi, 

 

Removed 'is'. But, no luck

0 Kudos
Nurina
Employee
2,382 Views

Hi,

 

Can you try put parentheses after your first if statement like so

if (rising_edge(clk)) then

additionally try with and without the 'is' from my previous reply.

 

Thanks,

Nurina

0 Kudos
TBaki
Beginner
2,392 Views

Hello,

 

I tried but didn't work

0 Kudos
Nurina
Employee
2,380 Views

Hi,

I tried on Quartus II 64-Bit Version 13.1.0 Build 162 and it seems to work fine. Could you take a look?

Regards,

Nurina

0 Kudos
Reply