Intel® FPGA University Program
University Program Material, Education Boards, and Laboratory Exercises
1175 Discussions

Help! SDRAM and VGA Controller

Altera_Forum
Honored Contributor II
963 Views

Hello guys,  

I am trying (for so many days :() to display some bmp images from my SD Card into my VGA display. 

My hardware design on QSys is shown below. 

http://www.alteraforum.com/forum/attachment.php?attachmentid=11375&stc=1  

 

I've been reading some tutorials but they are most for de2 board... 

My question is: Is it possible to do this using my DE0 Board without SRAM, only SDRAM (and/or onchip ram)? (cuz all I get in my screen is noise)... 

Also, I'm addressing the VGA pixel buffer with the same address of my SDRAM... is that correct? 

 

 

 

Please! Someone help me :')
0 Kudos
0 Replies
Reply