Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16842 Discussions

Analysis & Synthesis on Quartus 19.4 fails without any error.

HSuh01
Beginner
601 Views

Hi, 

 

I am working on the Straix MX 10 which comes with HBM on the board.

While I am working on the synthesis of my design, I got stuck on Analysis & Synthesis stage.

I shows "x" mark on the compilation flow window, but the transcript or message window show no error.

I think it's a problem of quartus' verbosity setting what should I change to increase the tools verbosity of the transcript window?

 

Thank you for any input.

 

Best,

Hsuh

0 Kudos
3 Replies
ShengN_Intel
Employee
587 Views

Hi,

 

May I know your OS operating system?

Do you have enough memory ram?

 

Thanks,

Best Regards,

Sheng

 

0 Kudos
ShengN_Intel
Employee
570 Views

Any further update? Have your problem being resolved?


0 Kudos
ShengN_Intel
Employee
542 Views

Since there are no further feedback for this thread, I shall set this thread to close pending. If you still need further assistance, you are welcome reopen this thread within 20days or open a new thread, some one will be right with you.


0 Kudos
Reply