Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16556 Discussions

Didn't able to debug my code!

Anonymous
Not applicable
553 Views

Hi helpers !

I am working on a project of a fsm(Finite State machine) in which i have to detect 1100 sequence.

I done my coding but i didn't able to detect the starting sequence but my code can detect the rest of the sequence .

I am not able to debug my code or correct my code as i didn't able to figure out what is wrong with the code.

I provide screen shot of the code and the error i face in RTL STIMULATION.

Help me debug this error !!!!

{I didn't write any test  bench as this project is given by my teacher and they said, you just have to write your logics and run RTL STIMULATION  if your design is correct then you get congratulation message in RTL STIMULATION TRANSCRIPT .}

 

 

4 Replies
Varunm
Beginner
540 Views

Can you mail me @ (removed here to protect your privacy)

0 Kudos
sstrell
Honored Contributor III
511 Views

Without seeing the testbench, it's difficult to figure out why the first 1100 failed and subsequent sequences passed.  Can you provide the testbench?

0 Kudos
SyafieqS
Moderator
503 Views

Hi Maroon,


Testbench (code) is needed when you want to verify your logic for using simulation technique. I recommend you to create a testbench file to validate your logic. You can refer to link below how to write a testbench

http://denethor.wlu.ca/pc120/quartus_testbench.shtml#:~:text=You%20can%20get%20Quartus%20to,put%20statements%20for%20your%20simulation.


Thanks,

Regards




0 Kudos
SyafieqS
Moderator
491 Views

Hi Maroon,


Any update?


0 Kudos
Reply