Intel® Quartus® Prime Software
Intel® Quartus® Prime Design Software, Design Entry, Synthesis, Simulation, Verification, Timing Analysis, System Design (Platform Designer, formerly Qsys)
16593 Discussions

Does quartus_map not use timing constraints

OCn
Novice
780 Views

After studying "TimeQuest Timing Analyzer Quick Start Tutorial" (Document Version: 1.1, Dec. 2009 ), I found that timing constraints seem not applicable to the analysis & synthesis tool quartus_map. Timing constraints are only considered by place & rout tool quartus_fit.

Am I correct?

I'm curious about this because timing constraints is necessary for ASIC synthesis, as they instruct synthesizer how to generate a netlist that meets user's timing requirement. Does quartus_map really NOT use timing constraints??

0 Kudos
1 Solution
KhaiChein_Y_Intel
755 Views

Hi Oliver,


Unfortunately, there is no document available about this.


Thanks

Best regards,

KhaiY


View solution in original post

0 Kudos
6 Replies
KhaiChein_Y_Intel
773 Views

Hi,


Quartus synthesis does using the timing constraint in the compilation.


Thanks

Best regards,

Khai Chein


0 Kudos
OCn
Novice
764 Views

Hi Khai Chein,

Thanks for your prompt reply and confirmation.

Then, is there any document/tutorial that describes how to instruct Quartus II's synthesis tool to use timing constraints while it is doing synthesis?

Regards,

Oliver

0 Kudos
KhaiChein_Y_Intel
756 Views

Hi Oliver,


Unfortunately, there is no document available about this.


Thanks

Best regards,

KhaiY


0 Kudos
OCn
Novice
750 Views

Hi KhaiY,

OK, I see.

Thanks, anyway!

Regards,

Oliver

0 Kudos
sstrell
Honored Contributor III
742 Views

A document from 2009 is not going to describe this since synthesis support for .sdc was added later.

You don't need to do anything for this to work.  It is just part of the compiler now.  As long as you've added a .sdc file to the project (Assignments menu -> Settings -> Timing Analyzer), you're good to go.

 

0 Kudos
OCn
Novice
737 Views

Hi sstrell,

OK, I understand.

Your reply does solve my problem.

Thank you for your kind help!

Regards,

Oliver

0 Kudos
Reply